公务员期刊网 精选范文 数字电路设计范文

数字电路设计精选(九篇)

前言:一篇好文章的诞生,需要你不断地搜集资料、整理思路,本站小编为你收集了丰富的数字电路设计主题范文,仅供参考,欢迎阅读并收藏。

数字电路设计

第1篇:数字电路设计范文

关键词:Multisim;辅助电路设计;VHDL

在以往进行电路设计时,设计理念往往较为单一,并以从下至上为主体,依托试探等方法设计工作就能顺利开展。通常情况下,电路参数需要预先设定,这就需要对以往的电路数据进行分析,而后根据经验对参数进行确定,在这一阶段中的模型建设大多服务于电路特性研究。通过对电路及器件进行简单模拟,就能为后续电路的连接及标准预估奠定基础,从而促使其各项指标得到预设状态。该种设计工作的开展需要大量的资金支持,并且应用能效普遍不高。在信息技术不断拓展应用的过程中,部分要求较高的电路可以以此应用为前提逐步推进电路设计工作。Multisim作为设计工具,能够通过计算机对电路进行科学设计,实现其能效作用的最大化发挥[1]。

1标准通用器件的设计方法

Multisim是现阶段应用较广的设计工具,能够实现对电路的仿真建设及设计,在实际操作阶段,Multisim能够将信息资源进行结构性整合,而后在原理图能够高效传输的基础上,应用相关设备就能对数据进行分析,仿真环境中各项数据就能高效渗透及显示。以模60计数器数字电路为基准,促使其能效作用充分发挥,就需要对中间核定计数进行标准限制,这就需要将其划分为两组不同层次。第一级应当服务于个位计数,而再一级则应当以十位为基准进行计数。由于以上两级所涵盖的计数范畴并不一致,这就应当对原始数据进行处理,并应用具有清零作用的芯片,确保其应用能效与设计要求相契合。为了对模60计数器的运作流程进行质量控制,提高计数结果的精准度,在Multisim设计平台上就需要将侧重点放在电路结构设计中,促使电路情况能够全面体现。实际上在Multisim运行阶段需要在元器件库中选取相应规格及标准的显示器,而后在对方波信号源进行精准掌控后,就需要选择与实际需求相符合的逻辑分析仪,对其结果进行探究就能科学衡量计数工作的精准度。在实际分析阶段,应当严格按照相应作用机制对其进行累加计数。当两级都能够达到相应值量标准时,计数器将会恢复到最初始状态。后续循环计数就可以以时间规律为基准,这样就能促使模60的计数功能充分发挥。

2以VHDL为主体的设计方法

VHDL实际上就是硬件展示语言,其本身具有一定的国际特性,标准界限也相当清晰,相对不断创新发展的Multisim,在实际运作阶段就能以此为语言主体形式逐步推进对电路的设计工作,并且软件仿真器也具有一定的多样化特点,实现对不同模型的优化结合。Multisim在实际设计阶段,能够根据要求发挥其编译功能,这与器件设计可以相互分离,实现对细节的精准把控。CLR在应用框架中占据重要地位,具有人工清零作用,作为端体形式,当其值量为1时,计数器所输出的数值就会随之改变,并以零为主体显示出来,需要注意的是,只有其值量达到1,计数器才能显示数据,实现输出能效。当计数器显示数据为零,计数允许端的数值为1时,可以根据时钟状态逐步推进计数工作,确保输出状态与实际情况相符合。在最初阶段,需要确保时刻的个位及十位都达到清零标准,而后在开始进行计数的流程化项目中,个位数值将会呈现出从0至9的趋势状态,而十位的数值变化则与其存在一定差异,从0至5进行变化。当以上两个部分的数值度到达最顶端状态时,人工清零能效就会发挥,而后就会重新开始下一轮的计数,因此不难发现,该流程具有限制的循环性特点。在这一阶段,当进位输出端口发生进位变化时,就说明已经完成清零并进入了下一阶段的计数[2]。以VHDL为依托进行语言设计,是较为常见的方法,其本身权限范围可以根据实际情况进行拓展,并实现对不同模值进行计数,相对的计数器功能也能充分发挥,实现这一指标需要对程序进行调整,将目标值划分为多个部分,而后对其数值进行乘积就能获取相应需求信息。通过对VHDL语言进行充分利用,就能对电路进行合理设计,不仅如此仿真后的功能也将趋于正常标准,但在进入到最后阶段时,其显示的综合结果也不一定百分之百的精准。在特殊情况下,对其进行延时处理就能确保显示结果与实际电路运行状态更为贴近[3]。

3结束语

综上所述,Multisim在进行数字电路分析设计阶段,其优势性能较为显著,它能够根据实际情况对数字电路的设计环境进行模拟,这不仅能够将数字电路的设计方向及主体进行全面展示,更能进一步提高电子系统的设计能效,促使系统环境更加安全、稳定,凸显设计的灵活性及科学性特色优势。

参考文献:

[1]周围,韩建,于波.基于Multisim和Authorware的数字电路仿真实验平台设计[J].实验技术与管理,2015,32(4).

[2]杨庆.基于Multisim的数字电路设计性实验研究[J].九江学院学报(自然科学版),2010,25(2).

第2篇:数字电路设计范文

关键词:CDIO理念;数字电路设计;实践环节教学

一、引言

CDIO理念是由麻省理工学院等四所大学所创立,是一种全新的课程教学理念,主要涵盖12项标准,关注学生可操作性能力培养,使学生能够在主动、积极的学习态度下,更加灵活、深入地参与到课程教学实践活动中。CDIO理念在数字电路设计实践环节教学改革中的应用,有助于学生综合实践能力的提升,对学生未来的工作与发展能够产生重要的影响。本文基于CDIO理念的内容,分析数字电路设计实践环节教学的相关方式,以期能够不断提升学生的综合实践水平。

二、CDIO理念在数字电路设计实践环节教学中应用的价值

CDIO理念在数字电路设计实践环节中的应用,符合课程教学的客观要求,能够为学生未来的发展奠定良好的基础。第一,符合课程教学的客观要求。硬件课程入门相对较难,实践环节是提升学生理论知识联系实践的重要方式,将CDIO理念融入数字电路设计实践环节中,有助于丰富课程教学的内容,转变单一的教师讲授课程教学方式,在充分激发学生实践探究兴趣、实践参与热情的基础上,培养学生问题分析能力、问题解决能力,为学生数字电路设计综合能力的提升奠定良好的基础。[1]第二,符合社会用人的实际需求。信息化时代背景下,社会中用人单位对数字电路设计人才的需求不断增加,将CDIO理念融入教学实践环节中,通过针对性的情境设计、案例分析等方式,能够使学生在实验参与、实验设计的过程中,形成一定的综合问题分析能力,培养学生的创新意识与创新能力,为学生未来的工作与发展奠定良好的基础。

三、基于CDIO理念的数字电路设计实践环节教学改革对策

素质教育理念下,基于CDIO理念的数字电路设计实践教学环节教学,可以通过基于课程教学的目标,循序渐进开展综合实验活动;开展合作探究的活动,增强学生实际电路设计能力等方式循序渐进的展开。第一,基于课程教学的目标,循序渐进开展综合实验活动。明确的课程教学目标设计,能够使各项数字电路设计实践教学环节能够循序渐进的展开,对学生知识的逐渐学习以及能力的不断提升,能够产生积极的影响。教师可以结合课程教学内容进行教学目标的设计,基于CDIO标准中3、5、7的要求,结合尝试教学的方法,鼓励学生多尝试、多参与。[2]教师可以将数字电路设计课程教学实践环节分成两个层次,从最基础的入门级电路编程开始,难度逐渐提升,最后使每一位学生均能够完成实际的案例综合实验,初步具备实际的工程能力。实验教学环节中,教师需要秉持着良好的教学态度,平等对待每一位学生,给予学生充足的实验参与时间,并引导学生积极表述自己的观点,保证综合实验教学活动开展的效果。第二,开展合作探究的活动,增强学生实际电路设计能力。尝试性实验的方式能够缓解学生的心理压力,教师需要给与学生更多的鼓励与支持。素质教育理念下不仅仅关注学生知识与技能的掌握情况,同时也比较关注学生合作意识、探究意识以及创新意识的培养。[3]素质教育理念下,教师可以通过开展合作探究活动的方式,将2名到4名学生划分为一个小组,并且通过任务、资料查找、实验验收以及撰写实验报道等方式,不断提升学生的实际工程能力,使各项数字电路设计实践教学活动能够更加贴近于科研项目研究流程,贴近于学生的实际生活。[4]比如教师可以为学生布置“编写出租车计费器、电梯控制器以及自动售货机”的相关任务。学生通过分组合作的方式,每一位学生负责完成一个项目,学生需要通过小组合作的方式,在规定的时间内完成项目合作。学生需要明确分工每一个人的工作,通过方案的设计、可行性的论证以及相关资料的查找等方式,完成实验设计工作。在实验参与的过程中,形成一定的合作意识与探究能力,学会合作、学会分析。学生完成合作实验项目后,还需要进行实验报告的撰写,培养学生的逻辑分析能力。

四、结束语

信息化时代背景下,人才综合实践能力将会直接影响人才的综合发展情况。教师可以通过基于课程教学的目标,循序渐进开展综合实验活动;开展合作探究的活动,增强学生实际电路设计能力等方式,将CDIO理念与数字电路设计实践教学环节相互融合,为学生带来全新的数字电路设计实践学习体验,使每一位学生都能够在实验参与、实验设计的过程中,形成一定的综合问题分析能力,真正体验实践教学的价值,促进学生的全面发展。

参考文献:

[1]郝勇静,孟晓彩,谢娟,等.基于CDIO教育理念的工科物理化学课程教学改革与实践[J].邯郸职业技术学院学报,2013,04(12):74-76.

[2]潘劲松.基于项目式教学的《高等数学》课程整体设计研究——以湖南机电职业技术学院电类专业为例[J].职业时空,2016,01(23):43-46+55.

[3]李道真,吴晓娟,郝艳荣,等.本科模拟电路理论及实验课程教学内容和教学方法的改革与实践[J].华北航天工业学院学报,2011,S1(13):90-91+97.

第3篇:数字电路设计范文

关键词:计算机应用;电子技术;高速数字;电路设计

由于近代科学技术发展的不断深入,高新技术层出不穷,电子技术行业也得到了前所未有的改革,开始进入了一个崭新的电气时代。高速数字电路通过电子技术和计算机技术的巧妙结合,能够集成高速变化信号在电路中所产生的电感、电熔等模拟特性的电路,对整个电路的各项参数进行调整和优化,让计算机高速数字电路系统保持一个理想的运行状态。计算机高速数字电路设计的过程中,最需要注意的还是各个元器件的搭配,否则会对电路信号甚至是电路元器件的正常运行造成影响。但是,在实际的应用中,计算机高速数字电路设计技术却受到一些因素的影响,例如,信号线间距离的影响、阻抗不匹配的问题、电源平面间电阻和电感的影响等,都会对计算机高速数字电路技术的运行效率产生影响,这也是要提升计算机高速数字技术的应用效率的重中之重。作者结合自身多年工作经验,以及自身对计算机高速数字电路技术的了解,通过对计算机高速数字电路设计技术的分析,找出了主要对影响计算机高速数字电路设计技术的关键因素,同时也提出了几点改进建议,希望对提升计算机高速数字电路系统的运行效率有所帮助,进一步促进电子产品行业的快速发展。

一、影响计算机高速数字电路设计技术的关键因素

1.1 信号线间距离

计算机高速数字电路设计技术的出现,给电子设计领域带来了新的突破,对计算机电子技术的发展有着极大的作用。由于各方面原因及计算机高速数字电路设计技术自身的特点,现阶段的计算机高速数字电路设计技术在应用过程中却存在着许多问题。其中信号线间距离对计算机高速数字电路设计有着明显的影响,一般情况下,信号线间的距离会随着印刷版电路密集度的增大而变化,越来越狭小,而在这个过程中,也会导致信号之间的电磁耦合增大,这样就不会对其进行忽略处理,会引发信号间的串扰现象,而且随着时间的推移会越来越严重。

1.2 阻抗不匹配

在计算机高速数字电路设计技术应用过程中,阻抗是影响其信号传输的关键因素,由于设计的不合理,造成阻抗增大,从而影响信号的传输。在现阶段计算机高速数字电路设计的过程中经常发现信号传输位置上的阻抗不相匹配的现象,这样极易引发反射噪声,而反射噪声将会对信号造成一定的破坏,使得信号的完整性受到极大的影响。

1.3 电源平面间电阻和电感不稳定

目前计算机高速数字化电路设计技术在诸多领域都得到广泛的应用,根据实际情况,利用先进的电子技术进行设计,从而让电子设备达到更好的运行效果。现阶段计算机高速数字电路设计中,由于电源平面间存在电阻和电感,使得大量电路输出同时动作时,就会使整个电路产生较大的瞬态电流,这将会对极端级高速数字电路地线以及电源线上的电压造成极大的影响,甚至会产生波动的现象。

二、优化计算机高速数字电路技术的有效措施

2.1 优化电路信号设计,确保电路信号的完整性

为了确保计算机高速数字电路信号的完整性,要对计算机高速数字电路技术进行合理的设计,现阶段计算机高速数字电路设计技术中,由于受到阻抗不匹配的影响,对电路信号的完整性也造成一定的影响,针对这点主要分为两方面研究:一方面是对不同电路之间电路信号网的传输信号干扰情况进行研究,也就是以上所提到的反射和干扰的问题;另一方面,要对不同信号在传输的过程中,对电路信号网产生的干扰情况进行分析。计算机高速数字电路在运行的过程中,会受到阻抗不相匹配的因素而影响到电路信号的传输效率,而且,现阶段计算机高速数字电路运行的过程中,阻抗很难控制,经常会出现阻抗过大或过小的现象,都会对电路信号传播的波形产生一定的干扰,从而对计算机高速电路传输信号的完整性产生直接的影响。为了避免这类情况的发生,要对计算机高速数字电路设计技术展开研究,从正常理论来看,高速数字电路设计难以使电路与临街阻抗的状态相互符合,可以对计算机高速数字电路设计技术进行改进,保持系统处于过阻抗状态,这样就能保证计算机高速数字电路设计不会受到阻抗不等的状态而影响到计算机高速数字电路信息传输的完整性。

2.2 优化电路电源设计,减少电源系统阻抗

从理论上来看,如果高速数字电路设计中,电源系统中不存在阻抗的话是电路设计最理想的状态,这样整个信号的回路也不会存在阻抗耗损的问题,系统中的各个点的点位就会保持恒定的状态。电源是计算机高速数字电路技术的重要组成元件,通过以上的分析得知,计算机高速数字电路设计中,由于受到电源平面间电阻和电感的影响,使得电源运行过程中会出现过电压的故障,也就是电源的波形质量受到影响,严重影响到计算机高速数字电路运行的可靠性。计算机高速数字电路系统运行的过程中,就必须要考虑到电源的电阻和电感因素,而要减少电源面的电阻和电感对电源系统的影响,就必须对其采取降低的处理措施。从当今计算机高速数字电路系统电源材质的分析了解到,电路系统中大多数都是采用大面积铜质材料,如果结合电源系统要求来分析的话,这些材料远远达不到计算机高速数字电路电源的标准要求,这样在系统正常运行的过程中势必会受到一定的影响,对此,要将所有影响因素进行综合性的考虑和研究,可以采用楼电容应用到电路中,这样可以有效的避免或降低电源面电阻和电感对系统的影响,从而有效的提高计算机高速数字电路系统运行的可靠性。

三、总结

综上所述,由于现代社会信息化、科技化、电气化进程的不断加深,也不断促进电子设计行业快速发展,电子设计技术将在实践中不断创新进步,在社会经济快速发展的过程中以满足新时期的电气时代需求。通过对高速数字电路设计问题进行有效合理的解决,对高速数字电路设计技术进行完善和创新,更进一步的促进了现代化技术和电子设计行业的发展,为我们国民经济可持续发展带来了有力保障。■

参考文献

[1] 李琳琳. 高速数字电路设计中电源完整性分析[J]. 火控雷达技术. 2010(02)

第4篇:数字电路设计范文

关键词:数字电子电路;VHDL;EDA技术;可编程芯片

中图分类号:TN702 文献标识码:A 文章编号:1009-2374(2013)19-0051-02

大规模集成电路的出现以及计算机技术的不断更新换代与广泛应用,促使数字电子电路的设计已经发展到了一个更新的领域,用以下四方面便足以显示:(1)硬件电路的设计越来越趋向软件化;(2)数字电路发展愈加芯片化;(3)电子器件以及与其相关的技术研发越来越多地朝着服务于EDA的方向;(4)电路设计技术的发展趋势朝着更加规范化、标准化的HDL硬件描述语言及EDA工具的推广使用上。当今的技术发展趋势要求从业人员在进行数字电子电路设计过程中,必须具备运用电子计算机以及操作超大规模的可编程逻辑器件的能力。本文通过对以EDA与VHDL为基础的数字电子电路开发设计过程进行简要叙述,为该技术的推广运用,做出必要的文献研究支撑。

1 EDA技术概述以及其开发设计流程

1.1 EDA技术的基本知识

EDA技术指的是以计算机为工作平台,将应用信息处理、计算机技术、智能化技术及电子技术进行融合的最新成果,进行电子产品的自动设计。20世纪60年代中叶,随着技术水平的不断进步逐渐,该技术结合了CAM、CAT、CAD以及CAE的综合优势被逐步发展出来。

与其前身相比,EDA在以下五方面拥有着十分明显的优势:(1)EDA技术能够对目标进行现场编程,并即时地实现在线升级。(2)硬件电路设计过程中采用软件设计的方式,通过输入波形、原理图、编程语言等指令,可以在进行硬件设计、修改、检测中,不涉及任何硬件工具进行特定作业。(3)产品直面设计自动化。EDA技术能够自动地根据设计输入的电路原理图或者HDL进行逻辑编译、适配、布局、优化等一系列工序调整并生成符合要求的目标系统。换句话说,就是运用电路功能完成对电子产品的测试、仿真、优化全程操作。(4)EDA技术的经济实用性更加科学、合理,不仅设计成本保持在较低水平,设计的灵活性也大大提高,同时新技术的开发周期也明显缩短。(5)集成化程度更加完善。EDA设计方法,还有另外一种称呼:利用芯片进行设计的方法,在集成芯片日益大规模的发展背景下,利用EDA技术,可以实现在芯片上构建系统的目的。

1.2 EDA技术的开发设计流程

EDA技术在设计方法上,通过对以往的“电路设计硬件搭试调试”模式进行革命化的转变,代之以计算机自动化完成的模式(如图1),完成了数字电子电路设计的巨大飞跃。

笔者将EDA技术设计过程中两个最基本的组成部分设计载体:可编程逻辑器件PLD以及设计输入:硬件描述语言VHDL进行具体介绍。

2 可编程逻辑器件PLD

可编程逻辑器件(Programmable Logic Device,PLD)是一种电子零件、电子组件,简而言之也是一种集成电路、芯片。PLD芯片属于数字型态的电路芯片,而非模拟或混讯(同时具有数字电路与模拟电路)芯片。PLD与一般数字芯片不同的是:PLD内部的数字电路可以在出厂后才规划决定,有些类型的PLD也允许在规划决定后再次进行变更、改变,而一般数字芯片在出厂前就已经决定其内部电路,无法在出厂后再次改变。

3 硬件描述语言VHDL

3.1 VHDL的基本知识

VHDL全名Very-High-Speed Integrated Circuit Hardware Description Language,在电子工程领域,已成为事实上的通用硬件描述语言。具有以下特点与优势:(1)更强大的行为描述能力。有效避免具体的器件结构,为实现超大规模的电子系统设计与描述打下坚实基础。(2)适用范围多样,且易于操作修改。VHDL采用国际通用的编程语言,能够很好地适用于不同版本的EDA工具,为操作者进行使用和修改提供了极大的便利。(3)设计描述过程独为一体。编程人员能够在对设计最终目标以及其他设计领域不甚了解的情况下,完成自身的编程操作工序。(4)使用EDA工具可以十分方便地对VHDL语言进行进一步的优化提升,由于EDA工具对其的可识别性,EDA可以实现对VHDL的设计语言重新进行整合、升级,并用门级网表将其表示出来。

3.2 基于VHDL的设计实例

用一个简单的状态机举例说明

4 结语

通过使用精简描述语言VHDL进行数字电子电路设计,不仅能够完成各种逻辑复杂、规模庞大的数据运算,更可以有效地将设计周期大大缩短,提高整个系统的可靠性以及集成度。

参考文献

[1] 于玲.EDA应用课程教学改革分析[J].辽宁工业大学学报(社会科学版),2012,(1):136-138.

[2] 王彩凤,胡波,李卫兵,杜玉杰.EDA技术在数字电子技术实验中的应用[J].实验科学与技术,2011,(1):782-783.

[3] 李晓敏,徐涛.EDA技术在“数字电子技术”课程中的应用[J].中国科技信息,2011,(9):167-169.

第5篇:数字电路设计范文

近几年,随着科技的发展和网络技术的普及,各种先进的电子技术开始蓬勃发展,目前,在电子产品设计中比较先进的技术是EDA技术,在电子系统设计中,它不需要硬件电路的支持就可以直接修改程序中的错误及系统功能,不仅缩短了研发周期,而且节约研发成本。EDA技术应用于数字电路综合实验设计中,可以有效地提高学生对数字电路综合应用能力。本文主要探讨 EDA技术在数字电路综合实验设计中的应用及特点。

【关键词】EDA 数字电路 综合实验设计 应用

数字电路是高等院校理工科电子信息类专业中一门重要的基础课,数字电路的知识比较复杂,对于初学者来说不容易理解,而采用数字电路实验有助于学生理解数字电路的知识及相关理论。在教学中通过数字电路表决器、计数器等简单的实验教程,帮助学生理解、学习数字电路,掌握各个单元电路的相关知识及理论概念,综合利用数字电路的特点应用于实际生活中,提高学生解决工程实际问题的能力。

1 EDA技术特点

1.1 模块化设计

EDA技术采用现在社会上最先进的设计方法,它是一种“自上而下”的全新设计,属于模块化设计方法,具有模块化设计方法的优势。

1.2 缩短设计周期,降低设计费用

EDA技术应用于设计中,可以单独于器件的结构而独立存在。所以,在设计时,设计者不用考虑芯片结构等器件对数字电路的限制,可以使设计者更专心于设计。同时减少设计风险,降低设计所需费用,缩短设计周期。具有良好的经济效益与社会效益。

1.3 实现电路的移植

EDA技术应用于数字电路设计中,可以实现简单的移植工作。它采用硬件描述语言进行设计,这种设计方法可以完全独立于目标器件的结构而存在,简单、方便,很受设计者的欢迎。

2 MAX+Plus II软件

我们采用MAX+Plus II软件对数字电路进行分析研究,这种软件简单易用,非常适合刚入门的学生学习。在利用这个软件学习数字电路时,在自身熟悉的设计方式基础上建立一个新的设计,这款软件会自动将设计转换成设计者所需要的格式。通过该软件的编译、仿真、等功能,快速的完成各种不同的数字电路系统设计。另外,此软件的器件库和模型库非常多可以帮助学生设计数字电路原理图;另外,它还具有强大的仿真、分析功能。

3 数字频率计综合实验系统设计

3.1 实验方案设计

在本实验中要求学生采用数字电路中相关器件,设计一个数字频率计来测量数字电路的信号频率,然后将所测量的信号频率结果显示在数字电路的数码管上。在进行实验之前,将实验系统划分为不同的模块进行分析数字电路工作过程,比如测频控制电路、脉冲发生电路、计数电路、锁存电路、信号整形电路、动态扫描等。通过各个模块之间的相互协调来完成数字电路之间的信息的传递与显示。

3.2 试验系统模块设计

3.2.1 脉冲发生电路模块

脉冲发生电路由一片14 bit二进制串行计数或者是CD4060分频器再加上一些阻容元件构成。它主要是用来产生系统所需要的频率信号,比如:动态扫描电路的1 kHz扫描频率信号和测频控制电路所需的频率为2 Hz的时基信号。

3.2.2 信号整形电路分析

在信号整形电路中,测信号的波形有三种波,外形像长方形的矩形波、类似于三角形的三角波和正弦波,在进行信号计数之前,首先要变换波形,在设计时将不同的波形信号变换成相同的信号波形进行测量。对信号进行整形时需要利用芯片机来测量,然后将得到的脉冲信号作为计数电路的输入时钟信号。

3.2.3 测频控制电路分析

数字电路的频率测量方法一般使用计数法,测频控制电路需要产生脉宽为1s的脉冲信号,来控制技术电路的技术使能。技数结束后,将结果锁存到寄存器中,使数据显示更加稳定。锁存好技术结果后,还需要一个清0信号,以便清除计数电路中上一次的技数结果。

3.2.4 计数电路模块

技术电路模块是用来计数待测信号的,一般由8个一位10进制加法计数器一同步级联的方式构成。技术过程受测频控制电路输出信号的控制。技术结果锁存后,利用清零信号将电路中的8个计数器统一清零,为下一次的技术做准备。

3.2.5 锁存电路模块

锁存电路,顾名思义就是用来锁存计数电路的计数结果,由4个异步清零信号的触发器组成。电路中每次计数结束时,测频控制电路输出信号就会产生一个上升沿,将技术结果锁入寄存器。

3.2.6 动态扫描显示电路模块

该模块的整个电路由1个用74160、1个3-8译码器和非门构成的8进制计数器、4个8选1数据选择器和1个显示译码器7448组成。8进制计数器在数字电路中主要用于产生3―8的译码器选和等,4 bit送到显示译码器进行译码时利用4个8选1数据选择器输入32位数据进行选择。

4 结语

这种基于EDA技术的数字电路综合设计实验模式是现代数字电路设计中重要的组成方式,数字电路中很多单元电路与设计方法都很好的应用在实验设计中,帮助学生了解、掌握电路设计方法和技能。提高学生的动手能力与解决实际问题的能力。

参考文献

[1]蔡春晓,张国庆.EDA教学在数字电路实验中的实践与探索[J].高教论坛,2010(11):39-40.

[2]杜世民,杨相生,杨润萍,殷金曙.基于EDA技术的数字电路综合实验研究[J].实验技术与管理,2012,29(10):93-96.

[3]黄勤易.利用EDA技术进行数字电路设计性实验的研究[J].半导体技术,2006,31(1):20-22.

[4]张永生.EDA技术在数字电路中的应用研究[J].科技传播,2012(4):142-143.

作者简介

陆元婷(1981-),女,贵州省遵义市人。硕士学位。现为遵义医学院医学信息工程系讲师。主要研究方向为软件工程。

第6篇:数字电路设计范文

随着电子技术的不断发展,高速数字电路在发展获得了极大的机遇。加上近年来,我国在高科技领域快速发展,加速了高速数字电路的发展。通过高速数字电路的快速发展,建立一个高速的数据系统,确保数据的正确传输,当前高速数字电路中的信号完整性设计已经成为了一个热点性问题。本文主要针对高速数字电路信号完整性的相关概念进行论述,并且给出了相应的设计方案讨论。

【关键词】高速数字电路 信号完整性 设计

面对当前高速的网络通信发展,对于视频信号的处理、传输的需求也有所提高,这为高速数字电路信号的设计得到了极大的发展机遇。电路板的设计从以前的放线、布线逐渐成为了一门专业学科,而当前的高速数字电路设计中逐渐从原来的单一信号转变为目前的信号完整性设计。这一结构的发展,使得信号完整性、电源完整性以及电磁兼容完整性三者之间在相互协同工作,并且获得的效果很好。同时实现这三者之间的协同发展,也是目前急需解决的关键性问题。

1 信号完整性的相关研究介绍

所谓的信号完整性即Signal Integrity,主要是指信号沿着传输线的一端传输到另外一端并成功接收之后,然后对其完整的波形进行观测,通过比较信号的输入和输出的电压和时序的响应能力。电路中的信号以某种既定的时序、时间以及电压等达成集成,那么则表示该信号处于完整性。与之相反,表示该信号的完整性遭到了破坏。对信号完整性的研究中主要针对信号的波形、电压、相互连线的作用和电气特性参数性能影响等内容。那么在实际的研究过程中对于信号的完整性进行分析信号的单一网络质量、多网络间串扰、电源和地分配的轨道塌陷以及系统的电磁辐射和电磁干扰等。高速数字电路信号在进行完整性设计的时候,由于科学界没有解决好从直流到毫米微波的超宽频快速变化信号,而且也有纵横交错的超细微互相连接封装的结构,形成了复杂的电磁场。

2 高速数字系统相关介绍

随着计算机技术的不断发展,计算机性能得到了极大的飞跃,尤其是在处理芯片的发展上,主要根据衡量芯片的时钟频率作为指标来衡量其性能。由于光刻法会造成时钟的频率出现越来越高的趋势,同时也会产生尺寸较小的晶体管门沟道,这会给信号的完整性带来非常严重的影响。随着时钟频率不断提高,需要更长的读取数据线或者时钟线,并且还需要足够的时间来读取处于高低电平状态的信号。在此过程中需要很短的时间进行信号转换,但是随着信号不断上升而减小,信号的完整性就更难解决。我们在对时钟的频率范围进行限定,以此来确定高速数字,衡量一个数字电路是否属于高速,则需要根据数字信号的上升和下降的时间来进行确定。转折频率与数字上升的时间存在着关联,但是与时钟频率却无关。

Fknee=0.35(或0.5)/Tr,其中Fknee表示的是转折频率,数字的脉冲能量大部分集中在此频率之下;Tr表示的是脉冲上升时间。任何的数字信号的时域特性均是由Fknee频率下的信号所决定。Fknee可以通过将时间与频率联系起来作为数字信号含频率的实际边界。

3 基于信号完整性的高速数字系统设计方案

3.1 新产品的设计方案

新产品在设计的时候如果忽略了信号的完整性,那么势必会造成开发的进度推后,同时也会造成开发费用升高,而且可能导致产品无法制造出来。从传统的制造来看,一个新的产品设计方案通常缺少了实时、有效、定量的分析和评估,那么只有通过设计经验来进行项目产品评估。因此我们在设计新方案的时候要消除信号完整性的问题,并且在产品设计周期中要尽量的消除此问题。

3.2 信号完整性分析模型以及工具介绍

对于高速数字电路信号完整性的设计主要有建模、仿真以及特征参数化几个方面。其中建模选择有源器件的模型和无源器件模型,通过此两种不同的模型完成高速数字电路信号完整性设计,值得注意的是:有源器件模型通常与SPICE模型兼容,也会与输出和输入缓冲接口兼容。对于高速数字电路中的信号完整性设计中仿真工具的使用,常会有电路仿真器、行为仿真器、电磁仿真器等等几类。其中电路仿真器在时域频域中实现对电路元件对应差分方程进行求解,以此来对各个电路节点完成电压和电流的预测。通过电路仿真器可以表示出各个导体与电介质之间转化而成的电容、电阻以及电感之间的耦合关系。行为仿真器往往是在时域内采用表格、传输线等模型来表示传递函数的无源元件模型,根据传递函数预测出各个节点之间的电流和电压。电磁仿真器则主要是时域或者频域范围中实现方程求解并且仿真出各个位置的磁场和电场。

4 结语

伴随着电子通信技术的快速发展,高速数字系统在设计上与以往有着很大的不同,其主要的不同点表现在集成的规模变得越来越大,而且I/O数量越来越多,单板的互连密度逐渐加大。随着时钟频率不断变高,信号的边缘速率变得越来越快,致使系统与单板信号的完整性受到影响。从市场的研发以及产品在市场上的推广来看,一次性的设计成功就显得非常重要,那么从根本上解决信号的完整性问题将对于产品的影响非常大。

参考文献

[1]李小荣.高速数模混合电路信号完整性分析与PCB设计[D].杭州电子科技大学,2010.

[2]桂亮.信号完整性研究及其在网络视频监控终端中的应用[D].浙江工业大学,2012.

[3]郭褚冰.基于企业级路由器硬件系统的高速信号完整性分析与设计[D].重庆大学,2013.

[4]孟垂建.基于信号完整性的PCB仿真设计与分析研究[D].哈尔滨工程大学,2013.

第7篇:数字电路设计范文

关键词:数字频率计 EDA VHDL 波形仿真

中图分类号:TN79 文献标识码:A 文章编号:1007-9416(2013)11-0135-03

1 引言

传统的设计方法是基于中小规模集成电路器件进行设计(如74系列及其改进系列、CC4000系列、74HC系列等都属于通用型数字集成电路),而且是采用自底向上进行设计。现代电子设计技术的核心的发展方向是基于计算机的电子设计自动化技术,即EDA(Electronic Design Automation)技术[1]。EDA技术减轻了设计人员的工作强度,提高了工作效率,缩短了产品的研发周期,是电子设计技术的一个巨大进步。超高速集成电路硬件描述语言(Very-High-Speed Integrated Circuit Hardware Description Language, VHDL)语言是EDA设计中一种重要的仿真语言,具有多层次描述系统硬件功能的能力,支持自顶向下和基于库的设计的特点,被广泛的应用于CPLD/FPGA的设计中。

在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系[2,3]。数字频率计是一种基本的测量频率的电子仪器,广泛应用于航天、电子、测控等领域。采用常规数字电路设计数字频率计,所用的器件较多、连线比较复杂,而且存在延时较大、测量误差较大、可靠性低等缺点。本文研究了基于EDA的数字频率计电路的设计方法,介绍了数字频率计的相应模块,并开展了相应的仿真实验。

2 数字频率计的设计原理

2.1 VHDL语言与软件仿真平台

VHDL出现于1982年,是一种针对于电路设计的高级语言。VHDL语言用于描述硬件电路,已经成了一种通用的硬件设计交换媒介[4]。该语言相比于其他语言具有对硬件的描述能力强、覆盖面广、语言精炼简洁、可读性强等特点,并且,VHDL支持支持模块化设计,缩短了开发的周期。

MAX+PLUSⅡ可编程逻辑开发软件是Altera公司推出的第三代PLD 开发系统,该软件提供了全面的逻辑设计能力,包括电路图、文本和波形的设计输入以及编译、逻辑综合、仿真和定时分析以及器件编程等诸多功能。具有包括开放式的界面、与结构无关、多平台、完全集成化、丰富的设计库在内的突出优点。其系统界面如图1所示。

2.2 设计基本原理

数字频率计的基本设计原理是选取一个频率稳定度较高的频率源作为基准频率,对比测量其他信号的频率,计算每秒内待测信号的脉冲个数。我们从MAX+PLUSⅡ实验台输入两个不同的时钟频率,其中一个作为基准频率(这里选取的是1HZ的CLK信号),另一个作为待测频率,经过相应的分频或倍频后,通过频率计计算出待测频率的频率值。由于本次设计的数字频率计采用1HZ的时钟频率作为基准频率,因此,我们需要计算1秒钟时基内待测信号整形后脉冲的个数,相应的计算结果即为当前频率值,并用十进制数码管显示最终结果[5,6]。

本频率计是8位十进制数字频率计,由四个模块构成:控制模块,有时钟使能的十进制计数器模块,锁存器模块和译码显示模块。数字频率计系统组成方框图如图2所示。

在上图中出现了三个重要的控制信号,分别是计数控制信号、锁存信号和清零信号。其中,计数控制信号是长度为1秒的高电平脉冲周期信号,可以对频率计的每一个计数器的使能端进行同步控制。实验中,当计数控制信号为高电平时开始计数;低电平时停止计数,并保持所计的数;锁存信号的上升沿到来时,将计数器在前一秒钟的计数值锁存在锁存器中,并控制显示模块显示当前数;锁存计数值后,由清零信号清除计数模块中的值。

3 模块实现

根据上述描述,数字频率计包含了控制模块,计数器模块,锁存器模块和译码显示共四个模块。下面我们将分别介绍这四个模块,并且给出其中最核心模块控制模块的设计程序。

3.1 控制模块

控制模块是本频率计设计的核心模块,既要求对频率计的每一个计数器的使能端进行同步控制,又要求能产生锁存信号将计数值记录到锁存器中。由此,利用VHDL语言设计该控制模块如下,仿真波形如图3所示。

3.2 其他模块

计数模块是对被测频率信号进行频率计数测量的模块,由8个一位十进制计数器级联组成,当时钟使能输入端为高电平时,进行计数,反之,锁定计数值。

我们可以先通过VHDL语言编写1个一位十进制计数器,再将其原件化后搭建成八位十进制计数模块。锁存模块由锁存器构成,当锁存信号的上升沿到达后信号被锁存到寄存器的内部,并由锁存器的输出端输出。译码显示模块对四位BCD码进行锁存,并转化为相应的三组七段码,用于驱动数码管,译成能在数码管上显示的相对应的数值。

根据本节所描述的各模块的基本原理,我们分别用VHDL语言进行编程实现,下一节将给出数字频率计的仿真结果。

4 仿真结果

在MAX+plus II的原理图编辑窗口的空白处双击,将弹出“Symbol”窗口,点击左侧的元件库栏中的Project项,选择刚才第3部分中生成的各个功能模块,再点击下方的OK,即可将此元件调入原理图编辑窗口中,并根据各模块功能和频率计的功能进行连接并生成顶层电路文件,如图4所示。

数字频率计的最终仿真波形如图5所示。实验验证了上述设计的正确性。

5 结语

本文基于EDA技术设计了数字频率计,描述了各模块的基本原理,用VHDL语言编程实现,并在MAX+PLUSⅡ软件上进行设计仿真,给出了最终的仿真实验结果。需要指出的是,用户可以在基本电路模块的基础上,修改VHDL源程序达到增加新功能的目标,例如由目前的8位增加到16位,改变基础频率的输入等,而不必更改硬件电路。

参考文献

[1]李晓辉.数字电路与逻辑设计[M].国防工业出版社,2012年.

[2]郭小东.基于VHDL语言的数字频率计电路的设计[J].信息与电脑(理论版),2009,7:064.

[3]潘明.基于复杂可编程逻辑器件的数字频率计设计[J].广西科学院学报,2002,18(4):244-251.

[4]齐京礼,宋毅芳,陈建泗.VHDL语言在FPGA中的应用[J].微计算机信息,2006,22(12): 149-151.

第8篇:数字电路设计范文

关键词:PC机;C语言;单片机;硬件;集成块;数字集成电路测试仪

中图分类号:TN431文献标识码:A文章编号:1009-2374(2009)20-0035-02

在数字电路实验室,集成块是常见的,由于它的体积较小,性能的好坏很难判断。因此,这里提出运用了单片机原理、C语言、通信原理、低频电路、数字电路等基本知识,设计了一台基于PC机的数字集成电路通用测试仪。这里主要探讨硬件电路构思与设计。

该测试仪主要是运用单片机的接口与显示程序和C语言的串行通讯程序来测试14管脚、16管脚的74Ls系列的集成块好坏。主要用到单片机CPU集成块89C51、驱动器集成块164、通信集成块232。该测试仪运用发光二极管实测灯与标准灯的发光情况相比较,来判断其好坏。该方法简单方便,是实验室不可缺少的工具之一。

一、想法的来源

一块小小的集成块,如何才能判断它的好坏呢?当然,有一些集成块在工作时是可以用万用表测量其管脚电压来判断它的好坏,但是比较麻烦。

“数字集成电路通用测试仪”,目的是能够简单而且方便地测试集成块的好坏。它主要是运用单片机的汇编语言和C语言来编程,还要用到通信原理、数字电路等知识。

该测试仪可以单拍测试,也可以连续测试,通过串行通讯送过来的数据,用发光二极管的发光情况来判断。用实测灯(绿色二极管)与标准灯(红色二极管)的亮暗来比较,如果两者发光情况一致,则表示通过,说明集成块是好的;如果不一致,则表示通不过,说明有管脚坏了。

有了这种测试仪,我们可以很方便地判断集成块的好坏,减少了实验室人员的工作量,具有很强的实用性。

二、总体设计

(一)技术指标

1.测试管脚数≤16PIN;

2.测试速度

3.测试品种可任意更换。

(二)技术要求

1.能对各种数字集成电路进行功能测试。

2.可连续测试,连续测试时,每按一次按钮,可全部测完,发光二极管上给出合格(失败)判断,并将测试结果在PC机上显示。

3.也可单拍测试,单拍测试时,每按一次按钮,进行一个节拍的测试并在显示器显示节拍号。

4.通过键盘操作,可将盘上的品种程序调入测试仪,测试结果通过串口回送PC机,PC机在屏幕上能显示合格管脚图形及实测管脚图形。

(三)硬件设计

对于生活在现代科技发达的社会技术人员来说,软件已经成为一种时尚,有了软件,提高了现代人生存的速度,但是,有些软件的应用必须在硬件的基础上才能够使用。对硬件电路的设计不但要熟练掌握低频电路原理、高频电路原理、数字电路原理、还得熟练掌握电子设计自动化(EDA)的技术。

(四)软件设计

软件设计和硬件设计必须结合进行。在本次课题设计中,主要是运用LCAW软件和C语言进行编程,用PROTEL软件画原理图。

基于PC机的数字集成电路通用测试仪设计时所用到的元件比较多,设计时必须根据原理图仔细安装,熟练掌握有关软件的使用,并且特别要注意软、硬件的结合使用。

三、硬件电路的设计

如一般的计算机系统一样,单片机的应用系统由硬件和软件所组成。硬件由单片机、扩展的存储器、输入/输出设备等硬部件组成的机器,软件是各种工作程序的总称。硬件和软件只有紧密结合、协调一致,才能组成高性能的单片机应用系统。在系统的研制过程中,软硬件的功能总是不断地调整,以便于相互适应。硬件设计的任务是根据总体设计要求,在所选择的机型的基础上,具体确定系统中所要使用的元器件,设计出系统的电路原理图,必要时做一些部件实验,以验证电路图的正确性,以及工艺加工的设计加工、印制板的制作、样机的组装。

(一)硬件设计要点

一个设计确定后,经过详细调研,可能产生多种设计方案,在众多的设计方案中怎样选择?为使硬件设计尽可能合理,应重点考虑以下几点:

1.尽可能选择功能强的芯片,以简化电路。

2.留有余地。在设计硬件电路时,要考虑到将来修改、扩展的方便。ROM空间、RAM空间、I/O端口,在样机研制出来后进行现场试用时,往往会发现一些被忽略的问题,而这些问题是不能单靠软件措施来解决的。如有些新的信号需要采集,就必须增加输入检测端,有些物理量需要控制,就必须增加输出端。如果在硬件设计之初就多设计出一些I/O端口,这个问题就会迎刃而解;A/D和D/A通道和I/O端口同样的原因留出一些A/D和D/A通道,将来可能会解决大问题。

3.以软代硬。单片机和数字电路本质的区别就是它具有软件系统。很多硬件电路能做到的,软件也能做到。原则上,只要软件能做到的就不用硬件。硬件多了不但增加成本,而且系统故障率也提高了。以软代硬的实质是以时间代空间,软件执行过程需要消耗时间,因此,这种代替带来的不足就是实时性下降,在实时性不高的场合,以软代硬是很合算的。

4.工艺设计。包括机箱、面板、配线、接插件等。必须考虑到安装、调试、维修的方便。另外,硬件抗干扰措施也必须在硬件设计时一并考虑进去。

(二)所用芯片介绍

硬件设计的步骤中的第一步就是查找可能涉及的芯片的资料。这是一步非常重要的步骤。它是硬件电路设计正确性和可靠性的基础。

1.89C51芯片的简介。AT89C51是一种低功耗、高性能内含4K字节闪电存储(Flash memory)的8位CMOS微控制器。片内闪电存储器的程序代码或数据可在线写入,亦可通过常规的编程器编程。AT89C51芯片内部具有下列硬件资源:4K字节闪电存储器,128字节RAM ,32条I/O线,两个16位定时/计数器,五源两级中断结构,全双工串行口,片内震荡器及时钟电路等。AT89C51片内含三个封锁位,若封锁位LB1已被编程,则EA引脚上的逻辑电平在芯片复位时被采样并锁存。但如果该器件上电时无复位,那么相应锁存器便被初始化为随机值,此值将保持到复位时止。片内闪电存储器的编程,AT89C51片内存储器售后通常处于擦除状态,即每一地址单元内容均为FFH,人们随时可对其编程,编程电压有高压12V的,也有低压5V的低压编程方式为在用户系统内对AT89C51进行编程提供了方便;而高压编程方式则与常规的闪电存储器或EPROM编程器相兼容。

2.RS-232芯片的简介。RS-232是美国电气工业协会推广使用的一种串行通信总线标准,是DCE(数据通信设备,如微机)和DTE(数据终端设备,如CRT)间传输串行数据的总线。TC232内部有两个发送器和两个接受器,还有一个电源变换器,是一种廉价RS232电平转换器, RS232C虽共有25根信号线,但在近程通信不需要调制解调器的情况下,一般只用少量信号线。若采用直接通信,则通常只用TXD和RXD及地信号线。

3.164芯片的简介。方式0是外接移位寄存器的工作方式,用以扩展I/O接口。输出时将发送数据缓冲器中的内容串行地址到外部的移位寄存器,输入时将外部移位寄存器内容移入内部的移位寄存器,然后写入内部的接受数据缓冲器。在以方式0工作时,数据由RXD串行地输入/输出,TXD输出移位脉冲,使外部的移位寄存器移位。方式0输出时,串行口上外接74LS164串行输入并行输出移位寄存器的接口。TXD端输出的移位脉冲将RXD端输出的数据移入74LS164。CPU发送数据缓冲器SPUF写入一个数据,就启动串行口发送,对SBUF的写信号在S6P2时把1写入输出移位寄存器的第9位,并使发送控制电路开始发送。内部的定时逻辑在对SBUF写和SEND被激活(高电平)之间有一个完整的机器周期。在SEND有效时,输出移位寄存器中输出位内容送RXD端输出,移位脉冲由TXD端输出,它使RXD端的输出数据移入到外部的移位寄存器。

(三)硬件电路的设计

硬件电路的设计如下图所示:

参考文献

[1]张友德,赵志英,涂时亮.单片微型机原理/应用与实验[M].上海:复旦大学出版社,1996.

[2]周仲.国内外常用集成电路互换手册[M].上海:上海科学技术文献出版社,2001.

第9篇:数字电路设计范文

【关键词】测频;频率计;电路设计

1.相关理论概述

数字频率计采用数字电路制作成以十进制码来现实被测信号频率,对于周期性变化的信号频率能够实现有效的测量的一种仪器。它是教学、科研等工作中的基础测量仪器,在模拟电路和数字电路实验中有着重要的作用,其能够直接读出信号源所产生的不同频率范围的信号将会对实验产生很大的影响。频率计主要用在正弦波、矩形波等周期性信号频率值的测量等,它的拓展功能能够实现对信号周期及其脉冲宽度的测量,引起对信号源的接受敏捷度使得其称为试验箱中的重要组成部分。

信号频率测量方法按照工作原理可以分为无源测量、比较测量、示波测量及技术等测量方法。其中最常见的测量方法是电子计数器,在该种技术下,频率计实现单位时间内被测信号脉冲数的直接计数,并将其频率值以数字的形式显示。实现了对不同频率、精确度的测频需求,保障了测量结果的精确度和速度。

2.整形电路的设计

整形电路就像把模拟的信号转换成为二值信号,也就是使其成为只有高电平和低电平的离散信号。在电路设计时我们可以将电压比较器用作模拟电路及数字电路的接口电路,通过其把非矩形信号转换成矩形信号。在选择比较器时,我们要充分考虑影响信号接收和转换功能的各种因素。下图为其整体设计结构图:

首先,是信号传播可能存在的延迟及时时间。信号传播的延迟时间是比较器选择时所要考虑的重要参数,这种时间的延迟有当信号通过元器件时所产生的传输时间上的延迟和信号上升及下降的时间延迟,只有将延迟的时间降低到最小才能有效的缩短信号处理的时间。

其次,要充分考虑电源电压对比较器的影响。就传统而言,比较器一般需要正负 15 伏的双电源来进行供电或者需要达到36 伏的单电源进行供电,这种传统的比较器在一些工业控制中仍有使用的空间和发展前途但以不适应发展的主流。现在多数的比较器需要在限定的电压条件下进行工作,即在电池电压所能够运行的单电源单位内进行工作,因此对其提出了低电流和小封装等当面的要求,并且在实际的应用中比较器还应该具备一定的关断的功能。当具备上述条件是,比较器才能够在试验箱中得到有效的利用,保证频率计在不同电源电压条件下的正常工作。

再次,充分考虑功耗对比机器的影响。功耗的大小直接影响比较器使用寿命和工作效果,功耗越低时其比较器的耗损相对较低,使用使用寿命得到延长,然而功耗由于器件的运作速度相关,功耗降低的同时可能带来运作速度的降低,因此,在比较器选择时,充分考虑功耗与元器件寿命及其运作速度的关系,寻得一种最优组合。

最后,不可忽视门限电压对比较器的影响。器件的设置可以用来实现对门限电大的测量,门限电压的大小与电路抗干扰能力呈现一种正比例的关系但与其敏感度成反比例关系。当我们通过对门限电压的测量并通过一定的公式计算,根据实际工作的需要来确定门限电压的具体值。

当我们充分考虑上述影响因素时,便会有针对性的选择相应的新品用于单元电路的设计,从而实现信号在电路中的顺利传输,避免芯片烧坏等现象的发生。

3.计数电路的设计

实现对信号的整形后我们便要关注一些低频信号由于其上升速度等原因可能产生的计数影响,因此在电路设计时应该根据信号的特点来完善计数电路的设计。低频信号上升缓慢或者高频信号叠加于其中时会使得计数电路将该种抖动作为输入脉冲予以计数,从而产生计数上的误差。避免该种现象的发生,我们可以通过低通滤波器的使用来处理低频信号传输中可能产生的抖动,并经过滤波器滤除叠加的高频信号。而反相器的使用可以实现在滤波前把高频信号和低频信号予以分开,即仅使低频信号经过反相器实现滤波得到比较规则的矩形信号而高频信号则不经过该过程。经滤波后的矩形信号输入到单片机中,在单片机选择时,低电压、高性能是我们考虑的重要方面,同时还要选择体积较小功能相对较强的单片器,实现迅速有效的技术。单片机计数器的精确度和终端结构的类型都会影响计数结果,通过精密比较器的植入和振荡器电路的设置,实现频率计的精度和存储等方面的要求。在单片机选择时还应该考虑技术进步革新对于存储器程序的选择和更新的可能,并且考虑单片机大小对于整个电路系统的影响,保证程序写入的便利性。下图为其计数模块设计图:

此外,对于计数电路的设计还要考虑信号频率高低的不同对计数器可能产生的影响,实现单片机对不同信号频率进行分频处理。经过整形后的信号进入选定规格的反相器后,对不同频级的信号进行分级处理,单片机频率自动分辨处理能力的选择能够有效的降低一些频级信号的分辨和处理,保证计数器工作的效率和速度。同时计数器的显示值的大小根据信号的频值进行实现随机变动,实现对不分频信号、高频机低频信号的有效计数。

4.显示电路的设计

显示电路是数字频率计电路设计的重要组成部分,它负责将整形电路及计数电路处理的数据显示出来。在该电路设计时我们要考虑的因素便是显示材料的选择及数据显示的方式。LED 数码管的类型会对数据的现实产生一定的影响,而该种材质的数据显示方式又分为动态和静态两种。就两种现实方式的优缺点而言,静态现实具备较高的亮度,为我们及时准确的读取数值提供了视觉便利,且其接口编程相对容易,但是该种显示方式会占用较多的口线,显示的位数直接关系到锁存器的数量,这直接带来所用器件数量繁多和连线的庞杂 ;而动态显示相交而言能够避免上述一些缺点。在动态显示使用时,先确定未选实现选定未选的段码的显示,经过一定的延时再实现对下一选定为送段码显示,并依此循环。下图为其显示模块图:

其具体的工作流程可以解释为,单片机中不同的构建作为译码器实现信号的输入,由译码器的输出来确定数码管的选择位。将每个数码管的公共端与一个接有高电平的 PNP 三极管的集电极相连,同时将三极管的基极和译码器的输出端相连接,这样可以通过对软件编程来设置单片机中的不用位置构建,从而设计译码器的输入端,其输出端设为低电平且只设一位,从而使与其连接的三界关处于一种饱和的状态,实现对计数器数据的动态显示。实现显示器电路中各元件的有机连接后,还要注重送段码的相关问题,使得相应位数的送段码可以通过一定串行口在数码管上进行显示。

5.结束语

除上述电路设计外,电子频率计的设计还要注重电源、滤波等电路的设计,只有将各种影响其工作的单元电路的设计不断的精细化和完善时,才能有效的保证其工作的效率和在实验和工业中的使用效果。

【参考文献】

[1]沈亚钧.基于单片机的数字频率计设计[J].山西电子技术,2012(05).

[2]杨帆.数字频率计的设计与实现[J].科技广场,2011(09).