公务员期刊网 精选范文 电路设计步骤与方法范文

电路设计步骤与方法精选(九篇)

前言:一篇好文章的诞生,需要你不断地搜集资料、整理思路,本站小编为你收集了丰富的电路设计步骤与方法主题范文,仅供参考,欢迎阅读并收藏。

电路设计步骤与方法

第1篇:电路设计步骤与方法范文

【关键词】数字电路;传统方式;VHDL

1.引言

随着计算机以及大规模集成电路应用的普及,电子行业正在迅速的发展。目前采用小中规模的数字电路逻辑设计已经不能满足数字电子技术发展的需要。例如传统的TTL电路或者COMS电路设计任务繁琐,设计效率低,所以迫切的需要我们做出调整,适应社会对数字电子技术发展的要求。伴随着集成电子工艺的发展,新型的逻辑器件也应运而生,到目前为止,市场上的逻辑器件大致可分为三类:第一是标准的逻辑芯片如COMS/TTL等系列芯片;第二是微型计算机芯片和各种微处理器;第三种就是应用规格芯片ASIC,其中ASIC芯片中就有我们接下来介绍的可编程逻辑器件(PLD)[1]。而使用可编程逻辑芯片就必须要求我们掌握编写VHDL语言的技术。这种设计数字电路实验的方法大大克服了传统数字电路设计出现的缺点,更加适应现在社会的发展。

2.传统数字电路设计优缺点

传统的数字电路设计过程大致经过以下几个步骤:一是分析问题画出状态转换图以及状态转换表,二是进行状态化简,三是状态编码,四是写出输入方程、驱动方程以及输出方程,五是画出逻辑电路图,经过这一系列步骤之后,还要在电路板上焊接电路,或者在面包板上拼接电路。传统的设计方法是数字电路设计的基础,它的优点是能够反映了数字电路的基本工作原理,系统内部构成的各个细节也能够很直观的反映出来,各部分之间的联系显而易见。因此,通过对设计的原理图的观察我们可以验证系统的合理性,同时也奠定了数字电路设计的基础。它的缺点是设计步骤复杂,在整个过程中需要用到大量的芯片和连线。而且传统的方法出错率高而且不易修复,在焊接电路板的时候如果不注意就会导致接触不良或者出现某个芯片损坏的情况,这就导致整个电路板都不起作用。

3.PLD器件芯片的出现

PLD又称可编程逻辑器件,PLD芯片上的金属引线和电路都是厂家做好的,但是器件的逻辑功能在出厂时是没有确定的,用户可以根据自己的需要合理的编程设计确定想要的功能。而编程用到的语言就是我们接下来要介绍的VHDL语言。目前PLD器件芯片具有微处理器灵活等优点,芯片的引脚也从一开始的20多个引脚发展到现在的200引脚[2]。可编程逻辑器件的出现从很大程度上使得数字电路设计发生了根本性变革。采用PLD设计电路不再是对电路板设计,而是对芯片设计,使之实现我们预想的功能。一般的PLD的集成度很高,可以满足一般的数字系统的需要。设计人员只需要自己编程到一块PLD上,而不用去供应商那儿买特定功能的芯片。我们可以对芯片内部的逻辑和外部的引脚进行设计。这样就克服了传统方式中对电路板进行焊接所花费的大量时间,克服了工作量大,难以调试等缺点,用户只需要编写适当合理的程序就可以实现预想的功能。如此大大简化了设计步骤,更加适应社会发展的需要。

4.VHDL简介

VHDL语言是一种应用于描述数字系统的功能、结构和接口的语言。VHDL含有许多具有硬件特征的语句而且语言的描述也更类似于一般计算机的高级语言。在编程上简单可行性高。VHDL的程序结构特点是将一项工程设计,或称设计实体。分成外部和内部,在对一个设计实体定义了外部界面后,当其内部开发完成后,其他的设计也能够直接调用这个实体。VHDL系统设计的基本点是将设计实体分成内外部分。VHDL语言之所以能够成为标准化的硬件描述语言并且获得广泛应用,正是因为它本身具有其他硬件描述语言不具有的优点。归纳起来,VHDL语言主要具有以下优点:

(1)VHDL语言设计多样:VHDL语言结构很强大,而且设计方法多样,既支持层次化设计也支持模块化设计,既可以采用自顶向下设计方式,也可以采用自底向上的设计方法。

(2)VHDL语言的设计是针对于芯片而并非器件,传统的硬件拼接的方法针对的是器件,但是VHDL语言是直接对芯片而言的,在设计电路时,用户可以不必考虑所选用的器件。设计者也可以不必考虑系统硬件结构,而进行独立的设计。

(3)VHDL语言可移植性强,对于同一个硬件的VHDL语言来说,它可以从一个工作平台移植到另一个工作平台上。

(4)VHDL语言有非常丰富的库函数和仿真语句,用户可以随时对系统进行仿真。

由此可见传统方式与应用VHDL的区别有以下几点:第一,传统的方法采用自上至下的设计方式,而应用VHDL语言则采用自下至上的设计方法;第二,传统方式采用的是通用的逻辑元器件,系统硬件的后期进行调试和仿真,而应用VHDL语言采用的芯片则是PLD(可编程逻辑器件),系统的设计早期进行调试与仿真;第三,传统的设计方式主要采用电路原理图的方式设计,而本文提出的设计方式主要则以VHDL语言描述为主,从而降低了硬件设计电路的难度。

5.VHDL语言结构及语言设计步骤

VHDL语言结构由library(库)定义区,entity(实体)定义区,architecture(构造体)定义区package(包集合)configuration(配置)组成,其中library,entity和architecture也是一个VHDL语言所必有的。

VHDL语言设计步骤大致可以分为以下三步:第一,分析系统结构并划分模块;第二,输入VHDL语言的代码,编写程序,并且将其编译,在此过程中如果有错误要及时修正;第三,对编译的后的VHDL文件进行仿真。

6.VHDL举例

下面介绍一个简单分频器的例子:

Library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity fenpin is

port(clk,clear:in std_logic;

q:out_std_logic);

end fenpin;

architecture behave of fenpin is

signal m:interge range 0 to11

begin

p1:process(clear,clk)

begin

if clear =’0’then m<=’0’;

elsif “clk event and clk=’1’”then

if m=11 then m<=’0’;

else m<=m+1;

end if;

end if;

end process p1;

p2:process(m)

begin

if temp<6 then q<=’0’;

else q<=’1’;

end if;

end process p2;

end behave;

由例题可以看出,在设计分频器是可以不用硬件搭连的方式,用VHDL语言进行编程更简单易行。

7.小结

本文针对目前传统数字电路设计中存在的若干弊端,提出用VHDL语言编写适当合理程序来设计数字电路实验的方法,避免了硬件电路中若干繁琐的问题,使得系统简单明了,可维护性强,芯片也可以反复使用。传统的硬件设计方法已不能满足现代电子工业的发展,在数字电路的应用中,VHDL语言必将会被广泛的使用。

参考文献

[1]张有志.可编程逻辑器件PLD原理与应用[M].北京:中国铁道出版社,1996:1-3,91

第2篇:电路设计步骤与方法范文

关键词:仿真;课程设计;效果;效率

Comprehensive application for the simulation software in the course design and the measures for some problems

Xu Junyun

South China of agriculture university, Guangzhou, 441052, China

Abstract: Introduced a method for conducting students to apply the simulation software comprehensively to do course design about the power electronics system. Through analyzing the characteristics for two kinds of simulation softwares, guided students to use Matlab/Simulink to do power electronic main circuit design, and to use Orcad/Pspice to do the power electronic control circuit design, and give a useful measure for convergence problem in the simulation. The practices show that the comprehensive application of simulation softwares can effectively help students improve the effect and efficiency of the power electronics circuit design.

Key words: emulation; course design; effect; efficiency

高校实践教学是一项需要不断创新的工作,实践课教师有必要探索新的实践教学方法,改进实践教学效果。因此,笔者在本校电气工程及其自动化专业的专业课―电力电子技术的实践教学的指导方法上做了改进,引导学生采用一种综合应用仿真软件辅助电力电子电路课程设计的方法。

1 电力电子电路常用仿真软件特点分析

目前在电力电子电路设计和分析上主要采用Matlab/Simulink和Orcad/Pspice这两种仿真软件。在Matlab/Simulink仿真平台,电力电子器件模型使用的是简化宏模型,它只要求元器件的外特性与实际元器件特性基本相符,而不考虑元器件的内部细微结构,属于系统级模型。 Orcad/Pspice是不同于Matlab/Simulink的仿真平台,它构建的元器件模型除了要求元器件的外特性与实际元器件特性相符,还要考虑元器件内部的细微结构,相比Matlab/Simulink的宏模型更详细,更复杂,是属于器件级的模型,用Pspice仿真可以细致地反映元器件的工作情况。虽然Matlab/Simulink的电力电子器件模型较为简单,但是它占用的系统资源较少,因而在仿真时出现不收敛的几率相比Orcad/Pspice要少。鉴于此,可以考虑将这两种仿真软件有机结合起来,取长补短,以提高仿真的效率。

下面以一种基于TL494控制的开关电源的设计为例,介绍在电力电子技术课程设计实践教学中建议学生采用的综合性设计方法。

2 基于TL494控制的开关电源设计举例

本示例要求设计出一种以TL494为控制器件的开关电源,电源电压范围为0~12 V。要求该开关电源性能可靠,纹波电压小,控制精度高。

2.1 设计步骤1―主电路的原理电路设计

主电路的原理电路设计方案利用所学知识,学生容易确定。如本设计中的主电路可采用常规的非隔离式Buck电路,开关管采用P沟道MOSFET,驱动采用“图腾柱”电路,输出电压反馈电路由一个比例运放电路构成(如图1所示)。

图1 主电路、驱动电路及电压反馈原理电路

2.2 设计步骤2―控制电路原理电路设计

控制电路原理电路方案参照相关资料,并利用所学自动控制理论知识,学生也较容易确定。本部分要求以TL494作为控制芯片。

TL494控制原理电路(如图2所示),1和2脚前接上两相同阻值的电阻,起到限流阻隔的作用,其中1脚接主电路输出反馈电压Vo,2脚接设定电压Vset,当改变Vset的值时,Vo和Vset经误差比较后控制PWM信号的输出;3脚经一个PI比例积分回路串上2脚,起到反馈的作用;4脚接地;5脚经一个电容接地,6脚经一个电阻接地,5,6脚共同构成振荡回路;8,11脚与12脚共同接工作电压;13脚接地,使9,10脚以并联工作方式输出。

图2 TL494控制原理电路

2.3 设计步骤3―开关电源系统仿真预设计

这个环节是整个设计的重点和难点。对学生而言,设计原理电路并不难,难的就在于如何确定原理电路中具体的元器件参数,在这方面学生缺乏经验。

2.3.1 仿真软件使用方案及问题对策

按常规设计方法,直接将Orcad/Pspice仿真软件用于电力电子电路设计,对初学者特别是学生来说,往往困难较大。学生在使用该软件的时候,很容易碰到仿真不收敛的问题,从而一筹莫展。

因此,在教学实践中,引导学生首先利用Matlab中Simulink仿真平台仿真快而不易出现收敛问题的优势进行主电路的仿真设计,较高效地确定出主电路中的电感、电容和电阻的最佳参数值。然后再利用Orcad/Pspice仿真软件进行控制电路的仿真设计。控制电路部分设计的难点在于PI参数的选择,因此要引导学生采用Orcad/Pspice仿真软件来进行。因为Orcad/Pspice是器件级仿真软件,仿真精度高,辅助控制电路参数的确定最佳。

对Orcad/Pspice在电力电子电路整体仿真中容易遇到的收敛性问题,笔者通过和学生一起分析研究、查找资料,积累了一些解决问题的经验。实践表明,这些经验对开关电源系统电路的仿真设计是有用的。下面给出一个对此问题有用的对策。

在用Orcad/Pspice进行仿真调试的时候,经常出现ERROR -- Convergence problem in transient analysis at Time =? Time step =?, minimum allowable step size =?这个问题。一个有效的解决方法就是修改参数。系统默认参数及参数修改的方法如图3和图4所示。

图3 PSpice系统默认参数

图4 参数修改图

2.3.2 系统仿真输出波形图示例

通过对不同参数条件下仿真结果的比较,按照开关电源纹波电压小,控制精度高等要求可确定原理电路参数。下面是利用仿真平台方便的参数比较功能得出的主电路最佳仿真输出波形图及控制电路采用最佳PI参数值时系统的输出电压仿真波形(如图5,图6所示)。

图5 主电路负载电压仿真输出波形(Simulink)

图6 总电路负载电压仿真输出波形3(Pspice)

图5是在开环状态下选择出的相对最优电感、电容和电阻参数值下的负载电压波形;图6是在控制电路选用相对最优比例系数和积分电容参数时的负载电压波形。

2.4 设计步骤4―实际开关电源系统测试

依据仿真预定元器件参数构建出具体的电路。在实验室调试中,要求学生利用示波器等检测仪器分析电路中的问题,帮助进一步确定最佳元器件参数。下面是对系统进行实际测试的一些数据(见表1,表2)。

表1 输入设定电压和输出实际电压

表2 输入设定电压和输出实际电压

实验测试结果表明:本电路系统可以稳定地输出0~12 V的直流电压。

实践表明,引导学生将不同仿真软件综合应用于电力电子电路的设计,不仅能有效地帮助学生提高电路设计的效率,而且对开拓学生思维,培养学生的创新能力也是有益的。

参考文献

[1] 许俊云.实验设备的改进与使用[J].实验室研究与探索,2010,8:337-339.

第3篇:电路设计步骤与方法范文

关键词:直流稳压电源;电路设计;工作原理

1 电路设计背景和目的

通过多年的教学经验和对中职院校的学生进行的调研情况来看,中职院校的学生普遍文化基础薄弱,对文化课、理论课不感兴趣,但是大部分中职学生对实训课程感兴趣,喜欢动手操作,能够尝试动手去做一些实验,有的甚至能独立完成一些电子产品的安装与调试。例如,简单的门铃电路,流水灯电路等。因此,针对中职院校学生的实际情况,结合我学院电气工程系的学生学习情况,今年,我系领导决定对学生的课程安排进行了大胆改革,去掉纯粹的理论课,所有专业课程都变为一体化课程,让学生通过动手操作掌握理论知识,真正做到在做中学,在学中做,在这样的背景下,我尝试了将所担任学科《电子技术基础》这门理论课程融入到《电子电路的安装与调试》这门实训课程中去,变理论课实训课程为一体化课程。依托这样的改革前提,我尝试对直流稳压电源的电路进行了以下设计,目的就是为了更好的适应电气工程系的改革实践,同时也能够使学生在实际动手操作过程中深刻理解相应的电子专业理论知识,能够培养学生掌握理论知识的能力,激发学生热爱电子专业的热情,提高了学生学习的积极性,最重要的是让学生学会了技能,一技在手,更好地走上工作岗位,尽快地适应社会。

2 电路设计实验设备及器件

所谓巧妇难为无米之炊,电路设计同样需要必要的实验设施和工具,而实验条件的好坏和选择工具的正确与否是设计的关键和前提。下面我来具体阐释我的设计思路中所需要的实验条件、实验工具和必要的原材料:

2.1 电路所需实验设施和工具

本次设计的完成需要在专业的电子试验台上进行,需要的工具如下:示波器、万用表、变压器(12v)、电烙铁、钳子和镊子等,另外需要必要的焊锡和连接线。

2.2 电路所需元器件清单

元器件清单如下:

1A二极管IN4007,V1、V2、V3、V4,4只;发光二极管V5,1只;熔断丝FU 参数为1A1只;100uF 50 V电容C1,1只;10uF25V电容C2,1只;500uF 16V电容C3,1只;2200uF电容C4,1只;开关SW,1只;2.7KΩ电阻R1,1只;190Ω电阻R2,1只;280Ω电阻R3,1只;1KΩ电位器R4,1只;三端集成稳器CW7812 U(可调范围1.25V~12V),一只;可调电阻RW,1只。

3 电路设计思路

直流稳压电源又称为直流稳压器,其作用就是将交流电转化成相应用电器所需要的稳定电压的直流电。其关键是输出直流电压的稳定性,所以我们设计电路的着眼点就是电路转化的稳定性。

3.1 直流稳压电源的工作原理

直流稳压电源一般由电源变压器、整流电路、滤波电路、稳压电路组成,其组成框图如图1:

直流稳压电源各部分的作用

(1)电源变压器:主要是降压器,用于把220V的交流电转换成整流电路所需要的交流电压Ui。(2)整流电路:利用整流二极管单向导电性,把交流电U2转变为脉动的直流电。(3)滤波电路:利用滤波电容将脉动直流电中的交流电压成分过滤掉,滤波电路主要有桥式整流电容滤波电路和全波整流滤波电感滤波电路。(4)稳压电路:利用稳压管两端的电压稍有变化,会引起其电流有较大变化这一特点,通过调节与稳压管串联的限流电阻上的压降来达到稳定输出电压的目的,用于将不稳定的直流电压转换成较稳定的直流电压。

3.2 直流稳压电源的设计方法

直流稳压电源的设计,是根据其输出电压UO、输出电流IO等性能指标的要求,确定出变压器、集成稳压器、整流二极管和滤波电路中所用元器件的相关性能参数,选择出这些元器件。

具体设计方法分为三个步骤:第一步:根据直流稳压电源的输出电压UO、最大输出电流IOMAX,确定出稳压器的型号及电路形式。第二步:根据稳压器的输入电压Ui,确定出电源变压器二次侧电压U2;根据稳压电源的最大输出电流IOMAX,确定出流过电源变压器二次线圈的电流I2和电源变压器二次线圈的功率P2;再根据P2,确定出电源变压器一次线圈的功率P1。然后根据所确定的参数,选择合适的电源变压器,一般为12v。第三步:确定整流二极管的正向平均电流ID、整流二极管的最大反向电压URM和滤波电容的容量值以及耐压值。根据所确定的参数,选择合适的整流二极管和滤波电容。

4 电路设计步骤

电路设计思路想出后,考虑实际电路具体设计步骤,完整的设计步骤是整个电路的核心部分,因此在设计过程中实际设计步骤显得尤为重要,具体步骤为以下几步:

4.1 电路图设计方法

电路图设计使用PCB制图软件制作

4.2 电路原理图的设计

电路原理设计使用Protel2000制图软件设计电路原理图如图2。

4.3 直流稳压电源实物设计

如图3所示安装直流稳压电源电路的前半部分整流滤波电路,然后从稳压器的输入端加入直流电压UI?燮12V,调节RW,如果输出电压也跟着发生变化,说明稳压电路工作正常。用万用表测量整流二极管的正、反向电阻,正确判断出二极管的极性后,先在变压器的二次测线圈接上额定电流为1A的保险丝,然后安装整流滤波电路。安装时要注意,二极管和电解电容的极性不能接反。经检查无误后,才将电源变压器与整流滤波电路连接,通电后,用示波器或万用表检查整流后输出电压UI的极性,若UI的极性为正,则说明整流电路连接正确,然后断开电源,将整流滤波电路与稳压电路连接起来。然后接通电源,调节RW的值,如果输出电压满足设计指标,说明稳压电源中各级电路都能正常工作。

5 电路设计总结

通过论述直流稳压电源电路的设计过程,强化了本人所教学科《电子技术基础》中模拟电路部分知识和《电子电路的安装与调试》实验部分知识。所设计的直流稳压电源电路,广泛运用于生活中,例如手机的充电电源、冰箱的稳压电源等。同时,也通过查阅参考书,网上资料等拓宽了自己专业方面的知识面。论述过程中,通过边教学边调研边实践的方式使本人对直流稳压电源电路设计过程有了一些新的认识,特别是强化了自己的教学能力,增强了所教专业学生掌握理论知识的能力,提高了其动手操作的能力。通过一段时间的教学效果来看,我所教授专业的学生对学院的此种教学改革适应快,容易接受,对教师所设计的教学模块感兴趣,并且激发了继续探究这一教学模块的动力,这也充分证明了学院提出的此种教学改革是可行的。

参考文献

[1]郭S.电子技术基础(第四版)[M].北京:中国劳动社会保障出版社.

[2]王建.维修电工技能训练(第四版)[M].北京:中国劳动社会保障出版社.

第4篇:电路设计步骤与方法范文

关键词:EDA技术;电子技术;应用研究

1引言

电子技术是电子信息类专业课程之一,通常包括数电、模电和高配电三个部分。电子技术的学习对相关专业的学生是非常重要的,将直接影响到学生的专业技术水平和实践能力,应该引起教师和学生的重视。

2传统电子技术教学的弊端

传统电子技术课程在实际教学过程中存在诸多弊端。例如:电子技术教材已无法适应当前快速发展的电子技术,不能与最新技术接轨,如果继续采用传统教材和培养模式,将对教学质量和学生实践能力产生重要影响;满足学生实际训练需求的辅助设备存在不足,导致学生进行的实验多是基于“电路模块化”的设计项目,而传统电路所使用的设计方法无法适应现代化的大型项目的设计需求;传统电子技术教学中,内容抽象,实践不足,缺乏创新设计方面的教学课程。

3EDA技术的含义和EDA技术课程特征

EDA,是ElectronicsDesignAutomation的缩写,意为电子设计自动化,是基于计算机辅助设计、辅助制造、辅助测试、辅助工程的概念发展而来的。EDA技术也被称为电子设计机动化技术,是在计算机为载体的EDA软件平台上,融合应用电子技术、计算机技术和智能化技术,采用硬件描述语言进行设计,计算机将自动完成设计语言逻辑编译、化简、分割、布局、仿真等步骤。

4EDA技术在电子技术中的设计流程

就EDA技术电子技术流程而言,主要可划分为系统划分、图形或者VHDL输入、代码级功能仿真、适配前时序仿真及AS1C实现等。

5EDA技术在电子技术中的实践运用

5.1运用仿真软件代替硬件

随着EDA技术的发展,越来越多的仿真软件开始出现,并逐步运用到实际的电路设计中,例如,SPICE/PSPICE,KELI,WEB,ANSMS,SIMULINK等。通过这些仿真软件,可以构建兼具科学化与规模化的实验、实习平台,而在这些实验平台上,电路仿真实验、交直流分析、影响频率监测、电路参数扫描分析等实验均可以进行。以仿真软件代替硬件的形式有效弥补了电路设计实训中设备不足的问题。EDA技术运用到电子技术中,以科学化、现代化的教学手段,降低了实训过程对硬件设施的依赖性,使得学生在实训过程中不再受到实验设备不足及教学硬件缺乏的影响。

5.2将硬件设计转化为软件设计

EDA技术在电子技术中的运用,降低了实训过程对硬件设施的依赖性,学生可以转化设计思路和载体,直接在EDA技术所搭建的软件平台上,进行电子产品设计。例如,Layout、PowerPCB等。通过EDA软件将硬件设计转化为软件设计,不仅提高了学生的电子技术中的综合技能水平,而且极大程度上调动学生的学习积极性。

5.3验证设计实验和电路设计方案的正确性

在EDA技术平台中,计算机可自动完成编译、分析、仿真、优化等步骤。因此,利用EDA技术时,可采用系统仿真和结构模拟方法,在确保系统各环节的子项目和子模块能够运转的情况下,对设计实验和电路设计方案的准确性和可行性进行验证。仿真完成后,对各个子电路的结构进行检测和分析,获取必要的技术参数,并以此为依据判断电路设计是否科学合理,各项性能指标是否可靠有效。EDA技术中的系统仿真和量化分析,在有效提高电子技术设计水平和相对应的电子产品质量方面具有积极影响,对于整个电子产品行业的发展也具有重要作用。

5.4优化所设计电路的特性

在EDA技术条件下,借助其自身所具有的温度分析、统计分析功能,能够有效分析出电路在各种不同条件下所具有的特征,从而确定电子元器件的最佳技术参数、系统稳定程度以及合适的电路结构,以全面优化电路设计。

5.5科学模拟测试电路特性

由于受到测试方法、仪表精度等因素的限制,在电路设计过程中,进行大量数据测试和特性分析时,会出现较大的误差,并给电子产品制作和产品质量带来一些问题。而在EDA技术条件下,模拟测试的工作量将大幅下降,大部分测试工作将直接由计算机自动完成,既减轻了测试的工作量,又提高了测试的精确性,从而实现全方位、全功能测试。

5.6EDA技术条件下,可多人同时操作

在EDA技术条件下进行电路框架构建和设计,能够保证设计方案的整体性和合理性。当设计过程中任意子环节或子模块出现问题时,设计人员都能够从问题自身开始逐步解决。基于这一优势,电子系统的设计和开发可由多人同时进行。在电子设计类竞赛培训时,在电子产品设计制作过程中运用EDA技术,能够为团队设计奠定良好的技术基础。

5.7降低设备损耗和实训成本

传统教学中的实验或设计课程中,检查试验箱和面包板链接插件是否松动、检查数据处理器是否存在灼烧、焊接设备、连接电路、调试电路等步骤都需要学生花费大量的时间去操作。而在EDA技术条件下,学生可以在实验正式开始前,依照实验设计方案和步骤进行仿真测试,减少了大量繁琐的步骤。既节省了大量实训时间,又有效降低实验设备的耗材和损耗;既节约实训成本,又提高实训效率。

6结束语

在实训过程中,采用以软件替代硬件的方式进行设计结构搭建、仿真调试和产品制作,既提高学生的开发能力和创新能力,又极大的降低了设备损害和培训成本,实训内容、学生创新思维、设计能力都将得到进一步的丰富和提高,电子技术也将得到进一步发展。

参考文献

第5篇:电路设计步骤与方法范文

关键词: 电子技术课程设计 教学设计 教学过程

电子技术课程设计是在电子技术实验的基础上进行的综合性的实验训练,是电子技术课程的实践性教学环节,是对电子类和其他相近专业学生进行综合能力培养的实践课程,对于全面、系统、深入地理解与掌握电子系统的知识、设计方法具有重要的教学意义。

1.电子技术课程设计的重点与要求

本课程的重点是电路设计,内容侧重综合应用所学知识,设计制作较为复杂的功能电路或小型电子系统。一般给出实验任务和设计要求,通过电路方案设计、电路设计、电路安装调试和指标测试、撰写实验报告等过程,培养学生综合运用所学知识解决实际问题的能力,提高电路设计水平和实验技能。在实践中着重培养学生系统设计的综合分析问题和解决问题的能力,培养学生创新实践的能力。

电子技术课程设计一般要求学生根据题目要求,通过查阅资料、调查研究等,独立完成方案设计、元器件选择、电路设计、仿真分析、电路的安装调试及指标测试,并独立写出严谨的、文理通顺的实验报告。

具体地说,学生通过课程设计教学实践,应达到以下基本要求:建立电子系统的概念,综合运用电子技术课程中所学习到的理论知识完成一个电子系统的设计;掌握电子系统设计的基本方法,了解电子系统设计中的关键技术;进一步熟悉常用电子器件的类型和特性,掌握合理选用器件的原则;掌握查阅有关资料和使用器件手册的基本方法;掌握用电子设计自动化软件设计与仿真电路系统的基本方法;进一步熟悉电子仪器的正确使用方法;学会撰写课程设计总结报告;培养严肃认真的工作作风和严谨的科学态度。

2.电子技术课程设计的教学过程

电子技术课程设计是在教师指导下,学生独立完成课题,达到对学生理论与实践相结合的综合性训练,要求本课程设计涵盖模拟电路知识和数字电路知识,因此课程设计的选题要求包含数字电子技术和模拟电子技术。

教学环节可以分为以下四个部分。

2.1课堂讲授。

课程设计开始前,需要确定指导老师。由指导老师通过两学时的教学,明确课程设计的要求,主要内容包括课程介绍、教学安排、成绩评定方法等。

在课堂教学环节中,指导老师介绍课题的基本情况与要求,要求学生从多个课题中选择一个。

2.2设计与调试环节。

2.2.1前期准备、方案及电路设计。

前期准备包括选择题目、查找资料、确定方案、电路设计、电路仿真等。在确定方案时要求学生认真阅读教材,根据技术指标,进行方案分析、论证和计算,独立完成设计。设计工作内容如下:题目分析、系统结构设计、具体电路设计。

学生根据所选课题的任务、要求和条件进行总体方案的设计,通过论证与选择,确定总体方案。此后是对方案中单元电路进行选择和设计计算,称为预设计阶段,包括元器件的选用和电路参数的计算。最后画出总体电路图(原理图和布线图),此阶段约占课程设计总学时的30%。

2.2.2在实验室进行电路安装、调试,指标测试等。

在安装与调试这个阶段,要求学生运用所学的知识进行安装和调试,达到任务书的各项技术指标。

预设计经指导教师审查通过后,学生即可购买所需元器件等材料,并在实验箱上或试验板上组装电路。运用测试仪表调试电路、排除电路故障、调整元器件、修改电路(并制作相应电路板),使之达到设计指标要求。此阶段往往是课程设计的重点与难点,所需时间约占总学时的50%。

2.3撰写总结报告,总结交流与讨论。

撰写课程设计的总结报告是对学生写科学论文和科研总结报告能力的训练。学生写报告,不仅要对设计、组装、调试的内容进行全面总结,而且要把实践内容上升到理论高度。总结报告应包括以下方面:系统任务与分析、方案选择与可行性论证、单元电路的设计、参数计算及元器件选择、元件清单和参考资料目录。除此之外,还应对以下几部分进行说明:设计进程记录,设计方案说明、比较,实际电路图,功能与指标测试结果,存在的问题及改进意见,等等。

总结报告具体内容如下:课题名称、内容摘要、设计内容及要求、比较和选择设计的系统方案、画出系统框图、单元电路设计、参数计算和器件选择。画出完整的电路图,并说明电路的工作原理。组装调试的内容,包括使用的主要仪器和仪表;调试电路的方法和技巧;测试的数据和波形并与计算结果比较分析;调试中出现的故障、原因及排除方法。总结设计电路的特点和方案的优缺点,指出课题的核心及实用价值,列出系统需要的元器件清单,列出参考文献,收获、体会,并对本次设计提出建议。

2.4成绩评定。

课程的实践性不仅体现实际操作能力,而且体现独立完成设计和分析的能力。因此,课程设计的考核分为以下部分:设计方案的正确性与合理性。设计成品:观察实验现象,是否达到技术要求。(安装工艺水平、调试中分析解决问题的能力)实验报告:实验报告应具有设计题目、技术指标、实现方案、测试数据、出现的问题与解决方法、收获体会等。课程设计答辩:考查学生实际掌握的能力和表达能力,设计过程中的学习态度、工作作风和科学精神及创新精神,等等。

3.电子技术课程设计的步骤

在“电子技术基础”理论课程教学中,通常只介绍单元电路的设计。然而,一个实用的电子电路通常是由若干个单元电路组成的。通常将规模较小、功能单一的电子电路称为单元电路。因此,一个电子系统的设计不仅包括单元电路的设计,还包括总体电路的系统设计(总体电路由哪些单元电路构成,以及单元电路之间如何连接,等等)。随着微电子技术的发展,各种通用和专用的模拟和数字集成电路大量涌现,电子系统的设计除了单元电路的设计外,还包括集成电路的合理选用。电子电路的系统设计越来越重要,不过从教学训练角度出发,课程设计仍应保留一定的单元电路内容。

电子系统分为模拟型、数字型及两者兼而有之的混合型三种。

虽然模拟电路和数字电路设计的方法有所不同(尤其单元电路的设计),但总体电路的设计步骤是基本相同的。

电子电路的一般设计方法与步骤包括:总体方案的设计与方案论证、单元电路的设计、单元电路间的连接方法、绘制总体电路草图、关键电路试验、EDA仿真、绘制正式的总体电路图等。

4.电子技术课程设计的效果

学生经过这样系统训练后,各方面技能都通过考核,为后续课程的学习打下了扎实的基础。

参考文献:

[1]高吉祥,易凡,丁文霞等.电子技术基础实验与课程设计(第二版)[M].北京:电子工业出版社,2006.

[2]杨志忠,华沙,康广荃.电子技术课程设计[M].北京:机械工业出版社,2008.

第6篇:电路设计步骤与方法范文

一、每种情况画1条支路,各种情况都要用到的元件画在干路中

初中物理的电路设计中,所设计的电路图一般都是并联(或混联)电路,很少有纯粹的串联电路.而且生活实例中往往叙述有几种并列的现象.比如“前门开门与后门开门”两种情况,“甲病床与乙病床” 两种情况等.电路设计时一般都是依据“一种情况画1条支路,每种情况都要用到的元件画在干路中”来设计电路.

生活应用实例1有一商品仓库,后门进货、前门取货,现有红绿两只灯泡和一个电铃、一个电池组、两个开关,导线若干.请你为仓库值班人员设计一个电路:电铃响同时红灯亮,表示取货人在前门按开关;电铃响同时绿灯亮,表示送货人在后门按开关.要求画出设计的电路图.图中标明红灯、绿灯及对应的前门、后门开关.

解析根据题意可知:1.绿灯与红灯的工作情况互不影响,各自均可独立工作,可知这两盏灯应该是并联的;2.前门开关控制红灯,后门开关控制绿灯,所以前门开关应与红灯在一条支路上,后门开关应与绿灯在另一条支路上;3.无论红灯亮或是绿灯亮时,电铃均响,可知两种情况都要用到电铃,所以电铃应该画在干路中.综合以上分析,设计的电路图如图2所示.

类似的生活例子还有病床呼叫电路、甲乙双方从两地相互呼叫对方的电路等例子.

二、两开关串联,表示两个条件同时满足,电路才会通

两开关并联,表示只要有一个条件满足,电路就会通.

当两个开关串联时,要想电路接通,必须将两个开关同时闭合.这个在现实中往往表现为生活中的两个条件要同时满足;而两个开关并联时,要想电路接通,则随便其中哪个开关闭合电路都能接通,这个在现实中就表现为生活中的多个条件只要有一个满足即可.

生活应用实例2楼道声光控延时开关电路.声光控延时开关已广泛应用于住宅区的楼道、工厂、办公楼、教学楼等公共场所.小瑞家所在小区的楼道路灯也已用声光控延时开关代替了按钮开关,只有在天黑以后,当有人走过楼梯通道,发出脚步声或其它声音时,楼道灯会自动点亮,提供照明;在白天,即使有声音,楼道灯也不会亮,从而达到节能的目的.请你设计出该声光控延时开关电路.

解析根据题意可知,要想让楼道路灯亮起来,必须同时满足两个条件.一是必须天黑(即光控开关闭合),二是必须要有声响(即声控开关也要闭合),属于“两个条件同时满足,电路才会通”的情况,所以光控开关与声控开关必须是串联的,再串上路灯后接到家庭电路中.设计的电路如图3所示.

生活应用实例3“仓库保管员系统”.某银行金库为防止单个职工进入而发生盗窃行为,现要求对金库大门进行改装.他们用电动机来带动铁门的运动,要驱动电动机工作,必须该银行内的三个保管员同时用各自的钥匙插入对应的锁孔内开锁,(将各自的锁打开相当于将电路中的开关闭合),请根据要求,将图4中的器材连接起来.

解析根据实际情况,要想把驱动机把金库大门打开,必须同时满足三个条件.即是三个保管员都要同时把锁打开(即三个保管员控制的开关都要闭合).属于“三个条件都要同时满足,电路才会通”的情况,因此三个保管员控制的开关是串联在一起的,设计的电路如图5所示.

类似的生活例子还有实验室柜钥匙保管系统等电路都根据上述方法进行电路的设计.

三、开关的特殊用法:开关与相应的用电器并联

开关的作用是控制用电器的工作.实际生活中,开关与被它所控制的用电器之间大都是串联连接的.这样,要让该用电器工作,就闭合开关;要让该用电器停止工作,就把开关断开.如果出现了“开关闭合,某用电器停止工作,而开关断开时,该用电器反而工作”的现象,这明显不是开关的常规用法,而是一种“特殊用法”.即开关不是与该用电器串联,而是与它并联.

生活应用实例4请你为奶牛场设计一自动放养奶牛的装置图.要求:用细导线将牛群围住,合上开关后,当牛群在圈内吃草时,小屋内灯亮而铃不响;当有牛了圈住的细导线跑到圈外时,电铃便会响起来,放牛的人能及时发现.

解析根据上述要求可知,电路中的灯是一直亮着,起到提示电路在正常工作状态的作用.而电铃却受到“圈住牛的细导线”的控制.但是它的控制情况与常规的控制不同:当“圈住牛的细导线”没断(即相当于开关闭合)时,电铃是不响的(被“圈住牛的细导线”给短路了);而当“圈住牛的细导线”被牛弄断时(即相当于开关断开),电铃反而响起来了(电流不能走细导线而只能通过电铃形成通路了).根据题意设计出的电路如图6所示.

类似的例子在很多电路设计题中都有出现,当某开关断开时,灯L有亮,而当这个开关闭合后,灯L反而熄灭了.这些都是属于“开关的特殊用法”,即该开关与相应的灯L并联.

四、从所有用电器都不能工作的那个条件出发,再从个别用电器不能工作的那个条件出发设计电路

有很多电路设计题要求学生根据题目的条件或要求设计出合理的电路,解决这类问题时一定要抓住外部连接情况及对应现象,逐步分析并画出符合题意的电路结构,解决此类问题的步骤是:先从所有用电器都不能工作的那个条件出发;再从个别用电器不能工作的那个条件出发来设计电路.

生活应用实例5现有两只灯泡L1和L2,三只开关S1、S2、S3和电源、开关、导线等元件,请根据下列要求设计出符合条件的电路.要求:(1)闭合S1、S2、S3时,L1和L2都亮;(2)闭合S1、S2时,L1亮,L2不亮;(3)闭合S1、S3时,L2亮,L1不亮;(4)闭合S2、S3时,L1、L2都不亮.

解析根据上述方法按下列步骤分析:首先必须从所有用电器都不能工作的那个条件出发,即从第(4)个条件出发.S2、S3都已经闭合了,怎么两灯都不亮呢?原来是S1还没闭合,也就是说只要S1断开,全部用电器都不能工作,这说明S1是总开关,在干路中,控制整个电路.然后再从个别用电器不能工作的那个条件出发来,即从条件(2)或(3)出发.由条件(2)可知,S3断开,L2就不能工作;由条件(3)可知,S2断开,L1就不能工作.说明S3控制L2,它俩在同一条支路中;S2就控制L1,它俩就在另一条支路中.而条件(1)我们在分析电路时用不上它,它只是起到一个检验电路正确与否的作用.最后整理设计出的电路如图7所示.

第7篇:电路设计步骤与方法范文

自主式课题教学法

针对目前课堂教学的现状,提出自主式课题教学法。其基本理念就是改革课堂教学,即在课堂上系统地讲授电路设计方法,而不是仅仅教会学生解题。此外,将学生分成若干个学习小组,给每个小组布置不同的电路模块设计课题,通过完成自己的课题达到初步实践电路设计方法的目的。同时,由于学生都是带着设计课题听课的,这样也会提高学生自主学习理论知识的积极性。具体实施步骤如下:

在课程教学初期,指导学生自由组成学习小组,提供若干模块设计课题供各小组挑选。选定的模块设计任务伴随该小组整个课程学习过程。这个阶段的教学要点如下:①尽量保证学生按照自己的意愿组合形成学习小组,这样小组成员在课题设计过程中才能有较好的默契,相互配合,依靠团队的力量完成设计任务。②该阶段是课程教学初期,学生对各个模块设计课题还不了解,教师应占用一定的课堂时间对课题进行解释和指点,充分激发学生自主学习的积极性,使学生自发地利用课余时间收集资料,选定设计方案。③当学习小组初步完成课题资料的收集和整理后,则安排一次课堂报告,由各个小组制作幻灯片向全班同学汇报其对课题的理解以及初步选定的设计方案,并由任课教师进行点评,指出其下一步工作重点。④模块设计课题应涵盖所讲授课程的各个章节,这样利于在讲课过程中通过讲解各个模块设计方法串联课程各章节的知识点。同时,讲课内容与学生正在进行的设计任务相关联,容易调动学生自主学习的积极性。

在课程教学中期,将模块设计课题融入到各个章节的课堂教学中,教会学生具体的电路设计方法,同时在实验课上指导学生进行电路调试以及指标测试。这个阶段的教学要点如下:①要求各小组通过课堂学习不断改进自己初期拟定的电路设计方案以及元器件参数计算方法。充分体现了自主式课堂教学法的教学理念,即激发学生的学习主动性,从而自主采用课堂讲授方法改进自己的电路设计,使其感受到如何将课堂所学理论知识运用到实际的电路设计中。②向学生灌输团队设计的理念,针对电路设计和调试过程中团队成员间的沟通和讨论,使学生认识到如何进行团队协作,同时在教师和团队间建立畅通的交流渠道,使学生的问题能得到解答,从而有信心完成课题设计任务。③安排课堂报告,各小组制作幻灯片向全班同学汇报课题设计进展,由任课教师对学生的设计进行中期考核并指出下一步工作重点。

在课堂教学后期,对各学习小组制作的模块电路进行验收和总结。这个阶段的教学要点如下:①督促各学习小组做好指标测试工作,验证自己设计的电路是否达到设计要求,同时总结整个设计过程的经验教训。②安排课堂报告,各小组制作幻灯片向全班同学汇报课题制作成果,由任课教师对设计成果进行总结。③各小组提交课题设计报告,详细介绍整个电路设计原理、参数计算过程,并记录系统的性能指标,总结电路调试过程中发现问题、解决问题的经验教训。

自主式课题教学法的应用实例

我们在通信电子线路课上使用了这种教学法。首先,根据整个课程内容设计8个模块的设计课题,将该课程的主要知识点都融合在这几个课题中,课题名称。

第一阶段:由学生自由组合形成学习小组并从这8个课题中选择一个,作为该小组在课程学习期间的设计任务。由小组成员相互配合进行资料收集以及设计方案的论证。在课程开始后的第二个教学周,组织各小组制作幻灯片报告该小组拟定的设计方案以及设计时间安排。需要说明的是,各小组进行方案设计的时候,相应的知识点还没有在课堂上进行系统地讲授,完全由学生先自学各自课题相关基础知识,然后进行资料收集整理,通过内部讨论,最终确定课题的初步设计方案。这个阶段需要学生充分发挥自己的主观能动性去熟悉课题、讨论方案以及确定初步方案。从实际情况来看,学生在这个阶段常常表现出很大的学习积极性,进行方案汇报时的现场气氛也很热烈。此外,由于设计课题涵盖了这门课程的主要知识点,相应课题方案的初步确定过程也是学生对课程知识的预习阶段。这样可以充分激发他们的求知欲,当教师在课堂上讲到相应的知识点时,能抓住学生的注意力,获得较好的教学效果。

第二阶段:主要完成各个章节知识点的讲授,这一阶段应该注意在课上重点讲解如何充分运用教材中的知识完成模块设计课题,让学生意识到,这些书本知识并不是抽象的理论知识,只要稍加变通就可以有效地指导生产实际。例如在讲到求解高频功率放大器的题目时,计算电路输出功率用到公式(1):200cmVPR=(1)其中P0为电路输出功率,Vcm为电路输出电压幅值,R0为电路负载电阻。而在真正设计功放电路时,电路的输出功率及输出电压幅值常常是已知条件(见表1),而具体的电路以及电路中所采用元器件的参数如电阻阻值是需要进行计算的。因此只需要将公式(1)转化为公式(2):200cmVRP=(2)转化后即可用于电路中所采用负载电阻的计算。整个课程讲授过程都要将知识点具体化,让学生意识到,只要将这些公式进行简单的变化(常常是翻转)就可以用于电路设计过程中元器件的参数计算,从而使学生可以一边学习课堂知识,一边将所学知识应用起来,真正做到活学活用。此外,在实验课中要指导各小组的电路焊接以及调试工作,并监督其设计进度,从而掌握学生对所学内容的理解程度。在这个阶段,真正实现了本教学法所强调的理论联系实际,即学生可以做到边学习,边使用,边检验,整个课程的教学效果良好。最后一个阶段是课程的结束阶段,主要做好各小组课题的验收工作,并对各小组所设计的模块进行点评,最后安排一次期终汇报作为整个课堂教学的结束。本教学法已经实践了两年,学生对这种教学法的满意度较高。此外,学生的平时成绩与模块设计课题制作情况挂钩,因此各学习小组都投入了较多精力用于电路模块制作,成功率也较高。并且学生通过电路模块的制作过程也了解到了如何运用课堂所学知识进行电路设计。

第8篇:电路设计步骤与方法范文

关键词:专用集成电路;寄存器传输级;门级网表;可靠性;手工综合

中图分类号:TN402.22文献标识码:A

文章编号:1004-373X(2009)20-004-03

Research on Manual Synthesis Based on ASIC Design

WANG Xiaohua,LUO Xiaoshu,YIN Yangang

(College of Physics and Electronic Engineering,Guangxi Normal University,Guilin,541004,China)

Abstract:With the development of ASIC design rapidly,it is key technology of the front-end IC design that the register transfer level description is manually synthesized the register transfer level.Through artificial participation,behave-level code by some of the most basic logic gates(such as nand-door,non-door,nor-door,etc.) gets the corresponding gate-level circuit according to the corresponding synthesized circuit model.Such methods used in ASIC design not only can optimize the circuit structure,but also can guarantee the correct logic function.At the same time,it can reduce the transmission delay and improve the reliability of chip design.Therefore,research on the ASCI design by manual synthesis is of practical value.

Keywords:application specific integrated circuit;register transfer level;register transfer level;reliability;manual synthesis

0 引 言

随着专用集成电路(Application Specific Integrated Circuit)设计的迅速发展,将寄存器传输级(RTL)描述的手工综合成门级网表,是IC前端设计中的关键技术[1]。在当前IC设计中,通常在行为级功能验证后,采用软件进行自动综合的方式。这种方式虽然缩短了ASIC设计的周期,但是利用软件综合的门级电路存在很大的冗余,从而影响到整个芯片的版图面积和延时。如果采用手工综合,则会得到最简的电路结构和最少的线路延时。在总体上,手工逻辑综合可分为时序逻辑综合和组合逻辑综合[2]。

在此,以成功开发的无线发码遥控编码芯片为实例,详细介绍手工综合RTL级代码的理论依据和实用方法,重点介绍时序逻辑综合的实现方法,将时序逻辑综合的实现方法归纳出各种描述的一般特征,将用户多种多样的描述归整为五种形式,避免了综合过程中的盲目性,使得整个综合过程有据可依,从而提高综合的效率和准确性[3],并对手工综合进行深入的研究。

1 组合逻辑综合

组合逻辑综合的功能是对组合逻辑函数的描述形式进行一系列转换和优化,求取实现该逻辑函数性能最佳的组合逻辑结构形式,并生成与逻辑功能描述相等价的优化的逻辑级结构描述。由于行为级描述或寄存器传输级描述经转换后所得到的逻辑级的逻辑函数表示通常都是非优化的表示,因此就需要使用逻辑优化工具对其进行综合和优化。

组合逻辑综合的目标通常有:其一是为了在满足延迟的约束下将面积最小化;其二是为了提高电路的可测试性[4]。

组合逻辑电路设计是数字电路设计的基础。相对时序逻辑电路而言其综合过程要简单,可参考上面的手工综合步骤,在这里设计了两个电路对ASIC的手工综合进行具体研究。

1.1 单增量加法器(4位)

所谓单增量加法器,就是在二进制计算中,行使代码换算的任务,即二进制计算中若输出有N值存在,接下来的数值就是N+1,为了把输出值从N变为N+1就必须做一个电路。若其Verilog HDL描述语言为:

counter_disp

则综合步骤为:

(1)列出真值表(略);

(2) 从真值表可以得出其逻辑表达式为:

sum[0]=NOT disp[0]sum[1]= disp[0] XOR disp[1]

sum[2]= disp[2] XOR (disp[0] AND disp[1])

sum[3]= disp[3] XOR (disp[0] ANDdisp[1]AND disp[2])

(3) 综合后的电路图如图1所示。

图1 单增量加法器手工综合后电路

1.2 数值比较器(4位)

完成A

对应的描述语言为:

if(counter disp

(1) 列出真值表(见表1)。其中“X”表示任意值。

(2) 从真值表写出比较结果的逻辑表达式,再通过化简得到最简表达式为:

A_small_B =A3n•B3+(B2B2)A2n•B2+(A3B3)(A2B2)A1n•B1+(A3B3)(A2B2)(A1B1)•A0n•B0

(3) 画出综合后的电路图(见图2)。

表1 真值表

输入输出

A3B3A2B2A1B1A0B0A

A3>B3XXX0

A3

A3=B3A2>B2XX0

A3=B3A2

A3=B3A2=B2A1>B1X0

A3=B3A2=B2A1

A3=B3A2=B2A1=B1A0>B00

A3=B3A2=B2A1=B1A0

A3=B3A2=B2A1=B1A0=B00

图2 数值比较器手工综合后电路

2 时序逻辑综合

时序逻辑综合的主要研究集中于同步时序电路的设计综合。异步时序电路由于其设计和控制过程的复杂性,自动综合十分困难。同步时序电路逻辑综合研究的内容主要有:同步时序电路的综合方法(即有限状态机的综合)、时序的优化以及时钟系统的设计优化等。

有限状态机综合的主要任务是根据给定的逻辑功能,选取触发器和锁存器等时序元件,寻求优化的时序状态激励函数。同步时序电路综合的目标是获得芯片面积优化的高性能电路结构形式,其中包括时序重构和时序逻辑优化等方面。时序优化与时钟系统的优化通过分析时序电路的数据传输行为,设置合理的参数,提高系统的效率,消除时序错误,解决时序冲突[6]。优化电路,得到最终的门级电路网表。

在进行了格式判别,确定采用何种时序元件后,就可以从相应的目标库中提取相应的元件,组织成符合最终输出形式的网表格式。在提取元件时应当根据用户的输入描述取得最优化的结果,当然,这种优化问题也可以在得到最终的数据通道之后进行。对于同步/异步复位及上升/下降沿触发的问题,在一般的目标库中,都有各种不同类型的时序逻辑电路元件,同步/异步复位元件为其中之一[7]。同步/异步复位触发器的综合与其他元件的综合有所不同,综合时考虑的不仅是某一条赋值语句,而是将用户的描述作为一个整体来考虑。在提取赋值语句时,同时分析相互有关联的语句以及这些语句的相关条件,根据上下文语义得出最终的结论。

下面针对上述理论用一实例来说明:在采用上面的综合步骤预处理和综合实现算法后,得到输出信号outA的赋值情况如下:

条件X1成立时outA≤0;条件X2成立时outA≤0;条件Y1成立时outA≤1;条件Y2成立时outA≤in1;其他条件下outA保持。

其处理过程如下:

(1) 将所有使输出信号为0的条件标识为A1,A2等A类(A1=X1,A2=X2);

(2) 将所有使输出信号为1的条件标识为B1,B2等B类(B1=Y1);

(3) 将所有使输出信号为某个输入信号或中间信号值的条件标识为C1,C2等C类(C1=Y2);

(4) 写出其逻辑表达式:

outA=(A1+A2+in1_not C1)(B1+in1•C1+outA)

=(X1+X2+in1_not Y2)(Y1+in1•Y2+outA)

(5) 将目标信号的逻辑表达式进行画简(这里设定已为最简式);

(6) 画出对应的逻辑电路图(见图3):(其中in1_not表示为in1的非,其余类同。)

图3 综合后电路

具有数据通道的有限状态机是描述数字系统的最常用的模型。有限状态机分为两个部分:数据通道部分和控制部件部分。数据通道部分包括数据的处理部件、存储部件、传输部件及其互连[8]。控制部分主要完成数据通道的时序控制,以及根据当前状态、外部控制输入和数据通道内部状态产生外部控制输出和数据通道控制信号等。逻辑综合接受算法级行为描述,通过将其编译转换成为内部表示形式,然后经过操作调度和硬件资源分配等处理过程,最终产生表示数据通道的寄存器传输级网表,并根据调度的需要提取控制信息产生控制部件的行为描述(即有限状态机的描述,一般为状态转换表/图)[9]。控制流综合对行为描述的有限状态机进行分解、化简、分配等处理,选取时序元件,导出状态转换函数和控制输出函数。

下面以一个实例来介绍控制部分的逻辑综合过程和方法。

按照前面的步骤,分析Verilog HDL代码,已得出该控制流部分的状态转换图(见图4),在这里只列出用符号代替的状态转移条件,未写出各输出端信号。

图4 状态转移图

这是LED驱动控制芯片核心模块(显示和键扫控制模块)的状态转换图,下面以DISPLAY状态为目标求其状态转移电路图,如图5所示。

图5 状态DISPLAY 综合后电路

(1) 根据状态转移图列出与DISPLAY状态相关的状态转换条件:

当A=1,DISPLAY=1 D=1时,DISPLAY=1;

当B=1,DISPLAY=0 C=1时,DISPLAY=0

(2) 推断出DISPLAY状态保持的条件,设为E:

E=(B && DISPLAY) ||(C&& DISPLAY)+H=

BC&& DISPLAY+H=BC&& DISPLAY

(3) 化简并得出DISPLAY的逻辑表达式:

DISPLAY≤A+D+E (4) 画出其逻辑电路图(状态机采用独热码编码方式)。

3 结 语

在此归纳出一套手工逻辑综合的方法和综合步骤,该方法适用于中小规模和超大规模中的核心电路部分的电路综合。同时手工综合后的效果与自动综合软件相比,其电路可靠且使用的门电路规模减少,功耗降低,延时达到最小。

参考文献

[1]蔡彭慈,.超大规模集成电路设计导论[M].北京:清华大学出版社,2005.

[2]刘丽华,辛德禄,李本俊.专用集成电路设计方法[M].北京:北京邮电大学出版社,2001.

[3]汪庆宝,宿昌厚.超大规模规模集成电路设计技术从电路到芯片[M].北京:电子工业出版社,1996.

[4]Martin,Kenneth W.Digital Integrated Circuit Design[M].Beijing:Pub.House of Electronics Industry,2002.

[5]Christopher Saint,Judy Saint.IC Layout Basic[M].北京:清华大学出版社,2003.

[6]Michael John Sebastian Smith.Application-specific Integrated Circuits[M].北京:清华大学出版社,2006.

[7]R Jccob Baker,Harry W Li,David E Boyce.CMOS Circuit Design,Layoutand Simulation[M].北京:机械工业出版社,2005.

第9篇:电路设计步骤与方法范文

关键词:数字电子技术;时序电路;串行序列;仿真;EDA

中图分类号:G642.4 文献标志码:A 文章编号:1674-9324(2013)06-0131-02

串行序列检测在通信领域应用广泛,因此,教材中对这种电路的设计进行介绍是有必要的。但是目前大多数的数字电子技术教材介绍的串行序列检测电路都存在一定的问题,作者在2003年全国高校电子经验交流会上就指出了问题并提出了多种修订方案[1],该文也引起了一些老师对该问题的注意[2]。但当时论文中给出的修订方案与时序逻辑电路状态图描述不一致。同时,作者最近在图书馆查阅了最新出版的数字电子技术教材,其中的串行序列检测电路设计仍然是采用以往教材中的设计方法,都没有进行功能验证,问题依然存在。因此本文有必要进一步讨论这一问题。另外,串行序列检测电路设计作为数字电子技术的一个经典实例,欠缺一定的基础知识,比如串行通信的概念、异步串行通信帧格式概念、串行通信的检测和同步问题等。作者在教学中,首先让学生查找资料熟悉上述基本概念,然后设计串行序列检测电路,掌握上述基本概念后,个别同学自己就会发现以往教材中设计存在的问题。这种教学方式执行多年,效果很好。

一、传统串行序列检测电路仿真

大多数数字电子技术教材都是设计了110或111的串行序列检测电路,多数教材中得到的111序列检测电路(要求检测到连续的3个1时输出Z=1)如图1(a)所示,利用MaxplusⅡ仿真的结果如图1(b)所示。图1(b)中箭头表示在CP的上沿检测串行输入X,检测到第一个有效的1时进入01,检测到第二个有效的1时进入11状态,此时输出Z在检测到连续两个1时输出变量Z就1,显然与设计命题要求不符。其他序列的检测也有类似情况,即不是在有效的检测时刻输出1。

二、改进的串行序列电路设计方法

参考文献[3]中提出了这一问题的解决方案,分别给出了Mealy型和Moore型状态图,这样可以得到正确的设计电路。但这种方法的状态图与传统时序逻辑电路状态图不一致。传统状态图是反映时序逻辑电路状态转换规律及相应输入、输出取值关系的一种图形,在状态转换图中以圆圈及圈内的字母或数字表示电路的各个状态,以箭头表示状态转换的方向,相应输入/输出标注在转换箭头上,图2给出了传统的两状态变量的部分状态图。本文根据串行序列检测的特点,即输出是由检测状态S确定的,当检测到有效序列,无论下一个串行输入X为0还是为1,都输出1。则可以将状态图表示为如图3所示的传统形式,进行可重叠序列检测,图4是医电93班吴鹏同学按照改进方法设计的111序列检测电路及仿真结果,由图4(b)可见,只要检测到有效数据串就输出1,结论完全正确。

三、实例安排顺序和教学方式的改变

这一实例所有教材都是安排在基于触发器的时序电路设计部分,因此限制了学生的思路。最近几个学期在时序逻辑电路分析、设计、寄存器等所有知识介绍完之后,让学生开始查串行通信资料、做序列检测电路设计、仿真验证电路功能,并做PPT在课堂上介绍。多数学生对串行通信概念、帧格式、波特率、帧同步等问题都介绍的比较清楚,个别同学对序列检测电路还设计了几种方案,其中包括了参考文献[1]中提到的用移位寄存器、输出与检测时刻同步等方法,拓展了学生的思路,部分学生对设计的电路进行了仿真和分析。这种方式激发了学生学习数字电子技术的热情,对数字电子技术设计产生了浓厚的兴趣。因此,建议各教材在补充相关基础知识的同时,将这一实例放在时序逻辑电路一章的最后,由学生根据自己所学知识进行设计。

通过以上分析可见,即使再多教材使用了再久的实例,也需要进行实践检验;建议教材中基于触发器的时序电路设计步骤中,应该增加“电路功能验证”一步,如果有这一步,就可以避免之前教材所设计电路存在的问题。

参考文献:

[1]宁改娣,杨栓科.串行序列检测同步时序电路设计探讨[C].全国高校电子经验交流会论文集,2003.

[2]陈文楷等.讨论式教学方法如何引入课堂[C].全国高等学校电子技术教学研究会年会,2005.

[3]张克农,宁改娣.数字电子技术基础(第2版)[M].北京:高等教育出版社,2010.