公务员期刊网 精选范文 集成电路的设计范文

集成电路的设计精选(九篇)

前言:一篇好文章的诞生,需要你不断地搜集资料、整理思路,本站小编为你收集了丰富的集成电路的设计主题范文,仅供参考,欢迎阅读并收藏。

集成电路的设计

第1篇:集成电路的设计范文

[关键词]集成电路布图设计,法律保护,知识产权

一、引言:保护的意义

集成电路,按照《简明大不列颠百科全书》的解释,是指利用不同的加工工艺,在一块连续不断的衬底材料上同时做出大量的晶体管、电阻和二极管等电路元件,并将它们进行互联。[1]1958年,世界上第一块集成电路诞生,引发出一场新的工业革命。集成电路的发明和发展,导致了现代电子信息技术的兴起。在当代世界新科技革命发展进程中,以集成电路为基础、以计算机和通讯技术为主体的电子信息是最活跃的先导技术,同时又是一种崭新的具有巨大潜力的生产力。而从生产的规模和市场的效应来看,2000年世界上集成电路的销售额约为2000亿美元,目前世界集成电路的人均消费量大约为20-30块。[2]中国的集成电路产业起步于60年代,虽然在发展速度上滞后于发达国家,但也已经初具规模并在不断壮大之中。有人认为,“集成电路工业不仅是现代国际技术经济竞争的制高点,而且是影响各国未来‘球籍’的基本因素。如果把石油比作近现代工业的血液的话,那么完全可以把小小的芯片(集成电路)比作先导和超现代工业和生活的某种‘母体’,它是一个国家高附加值收益的富源,也是其综合国力的基石。”[3]因此,从国家的产业政策导向来看,我们需要为集成电路工业的发展提供制度上的激励,而最根本的促进措施就是在集成电路的最初开发完成(形成布图设计)的时候赋予开发者一定的权利,使相关保护可以延及于其后的生产过程。

而从动态的市场交易层面来考察,我们也可以发现对集成电路布图设计进行保护的意义。依照科斯定理,技术发展与创新的背后是巨大而复杂的创造性劳动投入与资本投入,这需要仰仗市场来收回成本与获取收益,而一个重要的前提是解决市场交易双方的产权问题。[4]这一点不仅对含有集成电路的最终产品是重要的,对作为中间产品的集成电路布图设计同样重要。因为在社会化大生产的条件下,专业的分工越来越细致,交易不只是在产品最终完成之后才发生,而是与生产的过程相交织。例如一个手机的生产厂商可能只进行各个部件的组装,而核心的芯片以及其他的外壳等可能都是由别的开发商完成的。因此在这里明确集成电路布图设计的知识产权就是非常重要的,实际上这也是任何涉及基础性技术的生产领域必然要首先解决的问题。

对集成电路布图设计进行保护的另一个基本考虑是维护投资者的利益。这也是当代知识产权立法的一个渐变的趋势,在数据库保护和药品专利授予等方面也有所体现。集成电路布图设计的创造是一个以大量资金为依托、以相当的智力投入为主导、以丰富的相关技术来支撑,并仍然有失败风险的研发过程。[5]而新产品一旦上市,不法厂商利用先进的设备和技术,对该芯片进行解剖、显微拍照、逐层腐蚀和分析,或者利用激光技术逐层扫描、拍照,将芯片的布图设计复制出来,很快就能仿制出该芯片并大量生产,并以较低的价格占领原开发者的市场。[6]在这种情况下,知识产权法应当为付出大量投资和智力劳动并最早生产出有益的集成电路产品的主体提供恰当的保护。

对集成电路布图设计进行法律保护的意义还在于通过国际贸易学习和研究国外先进的集成电路技术,减少我国产业发展的成本。如何在落后的高新技术领域实现突破,真正利用好后发优势,是每一个发展中国家都必须审慎考虑的问题。笔者个人以为,在集成电路技术领域我们可以采用“欲擒故纵”的策略。首先明确我们保护集成电路布图设计知识产权的立场,然后利用“反向工程”进行我们自己的创新。当然,这种创新的实行以及其后对创新产品的布图设计保护还需要我们的企业加强法律意识投资,与外国厂商合作时签订明确的合同,避免不必要的利益纠纷。在这方面,国家专用集成电路系统工程研究中心的实践已经提供了较好的可资借鉴的经验。[7

第2篇:集成电路的设计范文

关键词:PC机;C语言;单片机;硬件;集成块;数字集成电路测试仪

中图分类号:TN431文献标识码:A文章编号:1009-2374(2009)20-0035-02

在数字电路实验室,集成块是常见的,由于它的体积较小,性能的好坏很难判断。因此,这里提出运用了单片机原理、C语言、通信原理、低频电路、数字电路等基本知识,设计了一台基于PC机的数字集成电路通用测试仪。这里主要探讨硬件电路构思与设计。

该测试仪主要是运用单片机的接口与显示程序和C语言的串行通讯程序来测试14管脚、16管脚的74Ls系列的集成块好坏。主要用到单片机CPU集成块89C51、驱动器集成块164、通信集成块232。该测试仪运用发光二极管实测灯与标准灯的发光情况相比较,来判断其好坏。该方法简单方便,是实验室不可缺少的工具之一。

一、想法的来源

一块小小的集成块,如何才能判断它的好坏呢?当然,有一些集成块在工作时是可以用万用表测量其管脚电压来判断它的好坏,但是比较麻烦。

“数字集成电路通用测试仪”,目的是能够简单而且方便地测试集成块的好坏。它主要是运用单片机的汇编语言和C语言来编程,还要用到通信原理、数字电路等知识。

该测试仪可以单拍测试,也可以连续测试,通过串行通讯送过来的数据,用发光二极管的发光情况来判断。用实测灯(绿色二极管)与标准灯(红色二极管)的亮暗来比较,如果两者发光情况一致,则表示通过,说明集成块是好的;如果不一致,则表示通不过,说明有管脚坏了。

有了这种测试仪,我们可以很方便地判断集成块的好坏,减少了实验室人员的工作量,具有很强的实用性。

二、总体设计

(一)技术指标

1.测试管脚数≤16PIN;

2.测试速度

3.测试品种可任意更换。

(二)技术要求

1.能对各种数字集成电路进行功能测试。

2.可连续测试,连续测试时,每按一次按钮,可全部测完,发光二极管上给出合格(失败)判断,并将测试结果在PC机上显示。

3.也可单拍测试,单拍测试时,每按一次按钮,进行一个节拍的测试并在显示器显示节拍号。

4.通过键盘操作,可将盘上的品种程序调入测试仪,测试结果通过串口回送PC机,PC机在屏幕上能显示合格管脚图形及实测管脚图形。

(三)硬件设计

对于生活在现代科技发达的社会技术人员来说,软件已经成为一种时尚,有了软件,提高了现代人生存的速度,但是,有些软件的应用必须在硬件的基础上才能够使用。对硬件电路的设计不但要熟练掌握低频电路原理、高频电路原理、数字电路原理、还得熟练掌握电子设计自动化(EDA)的技术。

(四)软件设计

软件设计和硬件设计必须结合进行。在本次课题设计中,主要是运用LCAW软件和C语言进行编程,用PROTEL软件画原理图。

基于PC机的数字集成电路通用测试仪设计时所用到的元件比较多,设计时必须根据原理图仔细安装,熟练掌握有关软件的使用,并且特别要注意软、硬件的结合使用。

三、硬件电路的设计

如一般的计算机系统一样,单片机的应用系统由硬件和软件所组成。硬件由单片机、扩展的存储器、输入/输出设备等硬部件组成的机器,软件是各种工作程序的总称。硬件和软件只有紧密结合、协调一致,才能组成高性能的单片机应用系统。在系统的研制过程中,软硬件的功能总是不断地调整,以便于相互适应。硬件设计的任务是根据总体设计要求,在所选择的机型的基础上,具体确定系统中所要使用的元器件,设计出系统的电路原理图,必要时做一些部件实验,以验证电路图的正确性,以及工艺加工的设计加工、印制板的制作、样机的组装。

(一)硬件设计要点

一个设计确定后,经过详细调研,可能产生多种设计方案,在众多的设计方案中怎样选择?为使硬件设计尽可能合理,应重点考虑以下几点:

1.尽可能选择功能强的芯片,以简化电路。

2.留有余地。在设计硬件电路时,要考虑到将来修改、扩展的方便。ROM空间、RAM空间、I/O端口,在样机研制出来后进行现场试用时,往往会发现一些被忽略的问题,而这些问题是不能单靠软件措施来解决的。如有些新的信号需要采集,就必须增加输入检测端,有些物理量需要控制,就必须增加输出端。如果在硬件设计之初就多设计出一些I/O端口,这个问题就会迎刃而解;A/D和D/A通道和I/O端口同样的原因留出一些A/D和D/A通道,将来可能会解决大问题。

3.以软代硬。单片机和数字电路本质的区别就是它具有软件系统。很多硬件电路能做到的,软件也能做到。原则上,只要软件能做到的就不用硬件。硬件多了不但增加成本,而且系统故障率也提高了。以软代硬的实质是以时间代空间,软件执行过程需要消耗时间,因此,这种代替带来的不足就是实时性下降,在实时性不高的场合,以软代硬是很合算的。

4.工艺设计。包括机箱、面板、配线、接插件等。必须考虑到安装、调试、维修的方便。另外,硬件抗干扰措施也必须在硬件设计时一并考虑进去。

(二)所用芯片介绍

硬件设计的步骤中的第一步就是查找可能涉及的芯片的资料。这是一步非常重要的步骤。它是硬件电路设计正确性和可靠性的基础。

1.89C51芯片的简介。AT89C51是一种低功耗、高性能内含4K字节闪电存储(Flash memory)的8位CMOS微控制器。片内闪电存储器的程序代码或数据可在线写入,亦可通过常规的编程器编程。AT89C51芯片内部具有下列硬件资源:4K字节闪电存储器,128字节RAM ,32条I/O线,两个16位定时/计数器,五源两级中断结构,全双工串行口,片内震荡器及时钟电路等。AT89C51片内含三个封锁位,若封锁位LB1已被编程,则EA引脚上的逻辑电平在芯片复位时被采样并锁存。但如果该器件上电时无复位,那么相应锁存器便被初始化为随机值,此值将保持到复位时止。片内闪电存储器的编程,AT89C51片内存储器售后通常处于擦除状态,即每一地址单元内容均为FFH,人们随时可对其编程,编程电压有高压12V的,也有低压5V的低压编程方式为在用户系统内对AT89C51进行编程提供了方便;而高压编程方式则与常规的闪电存储器或EPROM编程器相兼容。

2.RS-232芯片的简介。RS-232是美国电气工业协会推广使用的一种串行通信总线标准,是DCE(数据通信设备,如微机)和DTE(数据终端设备,如CRT)间传输串行数据的总线。TC232内部有两个发送器和两个接受器,还有一个电源变换器,是一种廉价RS232电平转换器, RS232C虽共有25根信号线,但在近程通信不需要调制解调器的情况下,一般只用少量信号线。若采用直接通信,则通常只用TXD和RXD及地信号线。

3.164芯片的简介。方式0是外接移位寄存器的工作方式,用以扩展I/O接口。输出时将发送数据缓冲器中的内容串行地址到外部的移位寄存器,输入时将外部移位寄存器内容移入内部的移位寄存器,然后写入内部的接受数据缓冲器。在以方式0工作时,数据由RXD串行地输入/输出,TXD输出移位脉冲,使外部的移位寄存器移位。方式0输出时,串行口上外接74LS164串行输入并行输出移位寄存器的接口。TXD端输出的移位脉冲将RXD端输出的数据移入74LS164。CPU发送数据缓冲器SPUF写入一个数据,就启动串行口发送,对SBUF的写信号在S6P2时把1写入输出移位寄存器的第9位,并使发送控制电路开始发送。内部的定时逻辑在对SBUF写和SEND被激活(高电平)之间有一个完整的机器周期。在SEND有效时,输出移位寄存器中输出位内容送RXD端输出,移位脉冲由TXD端输出,它使RXD端的输出数据移入到外部的移位寄存器。

(三)硬件电路的设计

硬件电路的设计如下图所示:

参考文献

[1]张友德,赵志英,涂时亮.单片微型机原理/应用与实验[M].上海:复旦大学出版社,1996.

[2]周仲.国内外常用集成电路互换手册[M].上海:上海科学技术文献出版社,2001.

第3篇:集成电路的设计范文

01专项“最”符合重,大,专

在评估03专项中,我们印象最深刻的是:03专项碰到的最大瓶颈是终端(芯片)和软件。华为、中兴现在已经是国际知名企业,他们要想做大做强,一定要在软件和服务上下功夫,而所有这些都跟01专项密切相关。01专项不仅是国家七大新兴战略性产业的基础,是实现国家现代化的“螺丝钉”,而且她在当好这个“螺丝钉”时,还要打造自己的“螺丝钉”,做好IP(知识产权)模块和平台技术。集成电路设计不仅要熟悉自己的设计知识和电路实现的物理知识,还要深入了解电子整机系统的软硬件知识和要求,并在自己的工作中,建立应用服务平台。这些特点说明,01专项应对的是基础的基础,而当前我们在这方面又比较落后,处于国际竞争劣势环境中。这就要求我们必须在市场经济条件下,发挥社会主义的优势,举全国之力,攻克之,重大专项呼应了这个要求。

01专顶也是“最”有希望的

最重要的原因是:“时运”已到。最近听说中央政策研究室写了一个关于苹果公司成为全球最大科技公司的简报,国务院领导批给了科技部和教育部。我没有看到这个简报和批示,但可以体会到其中的涵义。

我们常讲“弯道超车”。苹果公司就是在lCT(信息和通信技术)产业由桌面互联网向移动互联网时代过渡中,实现了创新超越,使自己从上世纪九十年代濒临倒闭、需要微软注资的困境中,一举超越微软成为全球科技品牌价值第一和全球股票市值第二(仅次于石油大王埃克森美孚)的耀眼明星。

这个时代对我们半导体界来说,有一个很大的挑战。过去按摩尔理念,提高性能的办法是通过缩小器件尺寸来实现的,而云计算则是通过扩大(而非缩小)计算机集群来提高体系的性能:与此相对应的是,过去通过提升集成度(增加功能)和频率来提高器件或终端单位时间内的办事效率,而云计算则是通过虚拟化提高体系的效率。这是两种完全不同的理念。这样一来,就出现了一个很值得重视的变化:过去,要求终端无比强大,不断提高终端本地的应用效率,即做得更快、更强、更好;而现在,在大多数情况下,终端成了一个“好浏览器”,导致了终端模式的多样化和智能化,并成为网络服务的一个组成部分,主要用于信息的消费,而不是信息的生产。这也是我们信息板块监督评估组的共识。

第4篇:集成电路的设计范文

【关键词】 集成电路 设计 乘法器 低功耗算法 实现技术

一、引言

低功耗设计一般可以分成两种:动态和静态技术。静态化技术一般是从系统的构造与工作原理出发,使系统的功耗得到降低,比如选择低功耗的器件;动态化技术主要是使系统运行得到改变来降低功耗,比如按照实际运行情况对器件的工作状态进行调节。

二、定点乘法运算优化

目前,集成电路的设计中,定点乘法运算一般都使用移位相加算法逻辑,具有方便理解、简单和直接的优点,但是缺陷也很明显,运算的效率比较低,需要数量很多的硬件设备,占用的资源也比较多。在普通的移位相加算法内,每位乘数都会出现积,运算量比较大,事实上,如果某位乘数是0,就不需要开展累加性的运算,所以累加器完成次数要和乘数中值是1的位数有明确关系,如果可以降低中值是1的位数,就能够降低需要运算的累加次数。

1、BOOTH算法思想。就是使乘数和某一较大整数相近,然后借助这一整数和被乘数之积,减去对应数值补码和被乘数乘积来计算,能够提升运算的效率。BOOTH算法被称作补码移位算法,符号位是参与到运算的,运算数都借助补码进行表示。乘数的末位会增加附加位,初值是0。对乘数的最末两位进行观察,00对部分积进行向右移动移位的操作,01则对部分积的被乘数相加然后取其补码,结果向右移动一位,10则对部分积的被乘数相减,取其补码,结果向右移动一位,11则直接额对部分积执行向右移动一位的操作。根据上述算法,对n+1步进行操作,那么第n+1不就不用在位移,最终的结果就是运算的结果。

2、定点乘法运算中乘法系数优化的算法。以BOOTH算法那为基础,还有一种更先进的算法,属于三元数值系统,能够降低硬件结构的复杂性,如果乘数系数是2的整数次幂时,乘法能够借助移位实现,这就使占用的硬件资源得到降低。为了降低系数二进制表示内非零位个数,通常使用带符号的二进制对整数进行表示。这一方法的优点如下:只利用了加法和位移,整个算法过程是以非零位不同的位置为基础,和其他的算法比较起来,更加准确,有限的字长也没有对程度有太大的影响。

3、数字滤波器编码的优化。设计芯片时,为了使类型不同的数字滤波器能够尽量降低面积,并加快速度,发展出一些优秀数乘与编码算法。先对信号进行处理时,首先会从模数转换器内输出,然后把信号由高频率载波信号降低到基带信号并解调,要想得到理想的信号需要不同阶段滤波。包括把较高采样率降低到降低采样率,然后借助解调转换至基带的频率,基带信号的频率比采样的频率范围低,因此借助抽取滤波器进一步抽取信号,进而得出有用信号。

三、集成电路设计中乘法器低功耗实现技术

1、编码优化算法实现技术。首先,可以把编码优化算法实现成一个VHDL函数,然后存进库中和乘法器进行分离。接着,对乘法器的木块进行设计和优化,最后算出乘法运算的结果并输出。在模块的内部,对编码优化函数进行调用,将优化乘法器类属参数当做这一函数的输入,输出的结果中,三个变量分别表示三个常数。优化的结果使用常数来表示,原因为在乘法器的综合初期,上述三个常数能够按照优化函数的计算而得出,进行综合之后,乘法器按照这三个常数就能够转化成对应移位加的结构,而且对加法的结果进行计算,这是优化算法的逻辑单元是在库中保存的,不会算进优化之后的乘法器。为了对这一技术优化的效果进行验证,可以将相同射频的模块当做测试的平台,在模块内全部乘法器都被优化乘法器的模块替代后,导入RTL的代码,对功耗结果进行分析,发现总功耗和逻辑单元都有所下降,面积也有所降低。在对硬件进行测试时,使用编码优化算法对射频模块进行匹配开展测试,结果显示逻辑占用率是4.5%,产生寄存器的总数是13559,存储单元的占用率是4.6%。

2、缺省优化算法实现技术研究。根据缺省优化算法模式,用VHDL函数的形式和小数乘法器相分x并存进库中。对优化乘法器的模块进行设计,在进行综合的初期,常数是由优化算法经过计算而得出的,在进行综合之后,乘法器按照常数就能够转化成对应移位加结构,并开展右移缺省操作,计算的结果在小数化处理之后从模块的输出端内输出。经过实验,发现总功耗有所降低,面积也有降低。

结语:综上所述,集成电路设计中乘法器的低功耗算法与实现技术具有重要意义,需要引起相关人员的重视,不断对这一技术进行改进与完善,切实发挥出低功耗算法的作用,进而促进集成电路设计技术的发展。

参 考 文 献

第5篇:集成电路的设计范文

关键词:集成电路;毕业论文指导;实践教学

本科毕业论文是目前高校考察学生专业基础和基本技能的一个综合性实践课程,目的在于提高学生提出问题、分析问题和解决问题的能力。通过本科毕业论文将大学生四年的学习进行深化和升华,最终为社会输送具有创新精神和实践能力的人才 。

作为一名工作多年的高校教师,指导了多届集成电路设计与集成系统专业本科毕业论文工作。在实际的教学指导实践过程中,结合工科类专业特点以及毕业论文工作的各个环节,对于如何提高工科类本科毕业生的毕业论文质量,锻炼学生的实践能力,做出如下的一些思考和探讨。

一、 论文选题与资料查阅

论文题目选定是整个论文工作开始的第一步,也是至关重要的一步。指导教师应根据集成电路专业特点,结合相关学科前沿、热点问题、实际教学情况及软硬件的实践条件,制定出具有一定科学性、创新性、实用性的课题,使得学生在论文工作中体会到研究工作的重要意义和乐趣,使学生的创新精神和实践能力得到充分的发挥和提高。同时,指导教师可结合自己的科研工作,将所承担的科研课题提出相应的子课题供学生选择,对于即将读研的学生则是今后学习和科研工作的一个简单借鉴和延续。我校集成电路设计与集成系统专业本科生人数众多,指导教师在模拟、数字、射频集成电路设计等多个领域制定题目的同时,需考虑选择制定不同难易程度的毕业课题,满足不同层次的学生选题需求,保证每个学生都能够最大限度地发挥个人能力,顺利完成毕业论文工作。

其次,学生应通过查阅大量的文献资料,在充分理解论文题目的实际意义和课题内容的基础上,判断是否可以按时地独立完成。因此,文献查阅的准备工作显得尤为重要,不容忽视。而一些学生意识不到其重要性,盲目草率地进行选择,致使超出个人理解和实践的能力范围,无法保证毕业论文的顺利进行和论文质量。还有一些学生,文献检索能力不强,不知道如何利用科技文献检索工具来获得自己需要的文献。因此,指导教师应有意识地培养学生此方面的能力,指导学生选择正确的检索工具,学习掌握检索技巧,提高工作效率。

所以,通过查阅大量文献资料进行合理选题,是确保论文质量及论文工作顺利完成的首要步骤。

二、 论文开题

学生围绕选定的论文课题,借助图书馆、网络信息库、教科书及参考书籍等多方途径查阅理解国内外的相关文献资料,独立拟定合理的工作方案及工作进度安排,与指导教师讨论开展毕业论文工作的可行性和合理性,为开题做好充分的准备。

本专业的论文开题工作是由多名专业指导教师参与完成的。通过听取每位学生的开题汇报,了解学生对论文课题理解掌握情况、工作内容的难易程度和饱满程度、工作进度安排情况、论文成果的检验形式等情况,给出合理意见,避免出现理解偏颇或不符合毕业论文要求的情形。所以,论文开题也是非常重要的环节,不可只是走走形式过场,而忽略该环节对于论文把关的重要性以及各位指导教师给出宝贵意见的机会。

三、 论文工作开展过程

论文工作的开展过程是整个论文工作的主体部分,也是历时最长的一个阶段。指导教师要指导学生根据工作进度及拟定的工作方案,按期完成每一阶段的工作任务;同时,指导学生做好实验数据、实验图片等的记录与存储,并正确处理实验数据和分析相关数据反映的问题;通过数据对比,引导学生去探索存在的问题和解决的方法,真正地将所学知识运用到实践中,为论文撰写及答辩做好准备。

指导过程中应因材施教,对于能力稍弱的学生,帮助学生掌握和理解相关的理论知识,培养和锻炼学生独立思考和实践操作能力;对于能力较强的学生,则以“学生为主,教师为辅”的原则,用讨论提问的方式,鼓励和培养学生创新能力,并在过程中不断抛出技术要点和难点,激发学生积极思考,锻炼学生独立发现问题、解决问题的能力。让不同层次的学生都能够通过毕业论文工作得到适当的锻炼和提高,最大限度地激发学生的个人潜能,为今后的就业和科研工作等积累良好的经验和基础。

某些学生在毕业论文过程中,也会存在着因修补学分、找工作、实习、考研或其他原因而出现论文工作迟滞或停顿的情况。因此,在指导毕业论文工作的同时,也需要完善指导教师对于学生的管理制度。除经常组织小组会议,进行工作进展汇报外,还可充分利用网络平台资源实时交流;在实现灵活管理的同时,能够有效进行师生沟通,及时解决各阶段遇到的问题并修正偏差,确保论文工作按时完成。近两年,本专业的本科生毕业论文开展过程中,我们实施了毕业论文师生见面记录工作,督促教师和学生顺利开展毕业论文工作,效果较好。

四、 毕业论文撰写

毕业论文的撰写工作是让学生对课题的背景意义、工作原理、实验内容及方法、实验数据及结论等各个方面进行整理和撰写,并为答辩做准备。指导教师须在此阶段进行严格把关,对论文结构的合理性、论文内容的丰富性、论文格式排版的规范性等方面给予合理的指导,同时对于写作语言的表达、专业词汇的合理运用、数据结果详细准确的分析说明、图表表格的清晰表达等多方面进行审核监督,以帮助学生达到毕业论文的撰写要求。在论文撰写过程中,引导学生实事求是、严谨务实,坚决杜绝伪造数据、编造结果等虚假投机的行为,让学生明白诚实的重要性。良好的诚信度将是他们今后走入社会、走上工作岗位后需要具备的非常贵重的品质。

近两年,我们在本专业的本科生毕业论文工作中实施了“导师互审”环节。在答辩前,将不同指导教师的学生论文送至其他指导教师处进行审核批阅,在进行相互监督的同时为学生从不同角度更全面地提出合理指导意见,帮助学生更好地完成毕业论文工作和提高论文的质量,取得了较好的效果。

五、 毕业论文答辩

论文答辩是整个毕业论文工作的最后一个环节,关系到最终的论文成绩,须引起学生的足够重视。答辩前,学生应与指导教师沟通答辩重点和要点,以便答辩过程简洁扼要、突出重点。论文答辩不是演讲比赛也不是辩论赛,在这一过程中每个学生的情况各有不同。答辩委员会将通过学生答辩过程中提出的问题,判断学生是否掌握原理知识、是否独立完成实验方案、是否采取有效的方法获得正确结果、是否具有创新性或实用性。鉴于以上诸多方面的判断,结合论文撰写质量,再根据课题难易程度进行综合评定,给予合理成绩。因此,学生在答辩前须要做好充分的答辩准备。

六、 结论

为确保本科毕业论文工作的顺利进行,须加强本科生对毕业论文的重视,同时强调指导教师的关键作用,教师在以身作则的同时科学引导学生,达到提高本科生论文质量的目的。

参考文献:

[1]徐华栋,沈美华.指导本科毕业论文的思考[J].高教论坛,2013,9:64-65.

[2]周桂林,谢红梅.指导教师在提高本科毕业论文质量中的作用―以理工科学生为例[J]. 重庆工商大学学报(自然科学版), 2013,2(30): 60-63.

第6篇:集成电路的设计范文

关键词:数字电路 故障分析 检测 思考

中图分类号:TN407 文献标识码:A 文章编号:1007-9416(2012)07-0238-01

1、引言

在当前,在我国从事数字电路设计的研究人员越来越多,在数字电路的设计过程中,难免会出现这样那样的问题,要见解决这些问题,就必须完成数字电路的故障检测,这样才能够保证数字电路的设计的进行。

2、数字电路故障概述

熟悉数字电路开发的工作人员都知道,数字电路主要分为时序逻辑电路以及组合逻辑电路两种。如果说按照数字电路中有没有集成元器件来看的话,数字电路就分为集成数字电路以及元件数字电路两大类。数字电路主要是依靠算术运算以及逻辑运算两种运算来实现处理的,数字电路的实现过程比较简单,能够充分保证系统的可靠性。此外,随着硅电子技术的发展,数字电路的集成程度越来越高,在功能的实现方面更容易。

随着数字电路使用的普及,而数字电路本身的种类很多,而且功能的实现颇为繁杂,给数字电路的设计带来了很多的麻烦,很容易出现各种各样的故障。在数字电路故障的检测过程中,我们通常是将数字电路的故障隔离到电路板级,然后再对故障电路板进行逐一测试。在测试的过程中,向电路输入一定的测试信号,然后在电路的输出端,测试电路的输出信号,再将输出信号和预期信号进行比对,如果和预期信号不像符合,则可断定电路出现故障。

3、数字电路故障的特点

3.1 竞争冒险

所谓竞争冒险,就是指电路诸多输入信号量中,有一个门电路的输入发生改变时,导致输出端的状态响应发生时间上的改变,这种现象就是我们所说的竞争。竞争导致的直接故障就是冒险以及现象的发生。

3.2 电平方面出现的故障

在数字电路中,由于电平输入不当也会导致电路的故障。在数字电路中,对于电压值的判定都是依赖于高低两个电平信号,也就是说,高电压(高电平)表示正逻辑,低电压(低电平)表示负逻辑。但是数字电路中各个期间对于高低电平的判断又各不相同,也就是说,可以规定一个数字电路器件的高电平是3V、低电平是-3V,我们也可以规定高电平是5V、低电平是-5V,这就导致在信号输入的过程中,各个器件对于相同的电平值会有不同的逻辑判断,从而导致设计人员想输入高电平时,出现的是低电平效果。

此外,由于电平方面因素,在数字电路的测试过程中还会出现介于0和1之间的逻辑值,出现这种效果显然不能为电路设计者所接受,而出现这样一种现象的原因在于:第一,扇出系数过小,导致负载能力较差;第二,电磁的干扰,数字电路的高度集成性决定了数字电路中各种高频信号线、接插件以及集成电路的引脚在工作过程中会体现出一定的电磁特性,形成辐射干扰源,进而影响其他元器件的工作。

4、数字电路故障分析

数字电路的故障分析过程中,我们会针对竞争冒险和电平方面两种情况讨论,不同情况,不同对待。

4.1 竞争冒险方面

我们在对待竞争风险时,主要分为如下几个步骤:

首先,我们使用代数法对电路的静态功能冒险进行相应的分析。在电路的组合逻辑中,如果有一个输入量发生了变化,而且在电路变化的前后过程中都较为稳定,那么我们就要进行相应的卡诺图分析,等那个卡诺图中有P个以上的量发生改变的时候,我们就判定有发生冒险的可能。

然后,我们对电路加上选通脉冲信号,对电路进行相应逻辑的修改,并且根据逻辑的修改情况,分析出电路的输出函数。并判断输出函数中组成元素的逻辑发生变化时,能否产生负向过渡干扰脉冲,对电路进行分析。

紧接着,我们对电路进行加修改逻辑设计操作,这一方法也被称为增加乘积项法,可以对电路的逻辑进行适当的修改,从而消除电路中存在的冒险现象,在进行逻辑的修改过程中,要保证电路函数关系的不变。

要是还是不能分析出电路的故障所在,我们就要对电路进行输出端并联电容法,改方法又被成为电容滤出发,主要是面对电路在较慢速度的环境下工作时,在电路的输出端并联上相应的电容,将竞争冒险过滤掉,在操作的过程中,要避免输出端逻辑的错误。

4.2 电磁干扰的解决

电磁干扰是数字电路设计过程中的大问题,我们要充分保证印刷板表面的绝缘,并将电路中低阻抗部分接上屏蔽层。在接入屏蔽层的环节中,我们可以将电压跟随器的同相以及反相端要和系统当中的接地相连。

4.3 电平方面的故障

设计人员在设计过程中要对各种数字元器件,特别是集成电路的输入输出特性做到心中有数,在设计过程中一定要充分考虑相连两个元器件的输入输出特性,在必要时可以再元器件中间加入适当期间,以保证电路逻辑的正确。

5、结语

数字电路设计过程中经常会出现各种故障,本文对这一方面展开了分析和讨论,并结合古筝的成因,提出了针对竞争冒险方面、电磁干扰方面以及电平方面故障的解决方法,得出相关结论。

参考文献

[1]张兰,徐红兵.一种新的数字电路故障定位算法研究[J].电子科技大学学报,2004.

第7篇:集成电路的设计范文

【关键词】印制电路板,设计,布线,技巧

中图分类号:S611文献标识码: A

一、前言

近年来随着科技的发展,电子产业迅速兴起。在电子产品中,PCB的布局布线是最重要的。本文主要探讨的就是印制电路板设计过程中的布线技巧及一般布线的注意事项。

二、印制电路板的整体布局

整体布局是PCB设计的第一步,合理的布局不但可以增加PCB的视觉美感,

还可以提高产品的电磁兼容水平,一般来说,器件的整体布局应遵循以下原则:

1、围绕各功能电路的核心元件进行布局,保证各元器件沿同一方向整齐、紧凑排列,易受干扰的元器件不能相邻布置,以防止信号间耦合;

2、处理敏感信号的元件要远离电源、大功率器件等,并且不允许敏感信号线穿过大功率器件,热敏元件应远离发热元件,温度敏感元件宜置于温度最低的区域;

3、加大具有高电位差元器件之间的距离,防止它们放电而引发短路,并可在无铅时代减少CAF (Conductive Anodic Filament)发生的可能性。同时,高电压元器件应尽量布设在调试时手不易触及的地方,并加以绝缘保护;

4、对于高频电路,推荐采用链布线或星形布线,并且高速数字信号应布置在与地线相邻的信号层,并且信号线尽可能短;

5、一个过孔会带来约0.5pF的分布电容,因此,减少过孔数量可显著提高运行速度。

三、印制电路板设计的布线原则

1、输入输出端用的导线应尽量避免相邻平行。最好加线间地线,以免生反馈藕合。

2、印制导线的最小宽度主要由导线与绝缘基扳间的粘附强度和流过它们的电流值决定。当铜箔厚度为0.05mm、宽度为1~15mm时.通过2A的电流,温度不会高于3℃,因此导线宽度为1.5mm可满足要求。对于集成电路,尤其是数字电路,通常选O.02~0.3mm导线宽度。当然,只要允许,还是尽可能用宽线,尤其是电源线和地线。导线的最小间距主要由最坏情况下的线间绝缘电阻和击穿电压决定。对于集成电路,尤其是数字电路,只要工艺允许,可使间距小至5~8mm。

3、印制导线拐弯处一般取圆弧形,而直角或夹角在高频电路中会影响电气性能。此外,尽量避免使用大面积铜箔,否则长时间受热时,易发生铜箔膨胀和脱落现象。必须用大面积铜箔时,最好用栅格状,这样有利于排除铜箔与基板间粘合剂受熟产生的挥发性气体。

四、印制电路板的布线技巧分析

PCB的布线是整个设计流程中最为关键的环节,布线的是否合理科学,布线时间的长短,线路的是否合理等多方面的因素都会对整个电路的运行状况有着是否严重的影响,因此,做好布线工作,有着重要的经济意义和安全效益。

1、确定PCB的层数

电路板尺寸和布线层数需要在设计初期确定。布线层的数量以及层叠(stack-up)方式会直接影响到印制线的布线和阻抗。板的大小有助于确定层叠方式和印制线宽度,实现期望的设计效果。目前多层板之间的成本差别很小,在开始设计时最好采用较多的电路层并使敷铜均匀分布。

2、组件的布局

组件的布局是布线中比较关键的环节,布局会受到可制造性设计规则的限制,在装配单位要求可以元件的移动时候,便于电路的优化组合,便于实施布线的自动化。一般而言,组件的布局要重点关注几个关键点,首先,在电源线的布置过程,在PCB布局中要把电源的退耦电路安排在相关电路附近,避免和电源相近,其次,电路内部的电流方向安排,要坚持按照优先级来进行供电,比如从最后一级到最前面一级的开始供电,一般而言,电源的滤波电容会设计在最后最末尾的一级,最后是对主流电流通道的设计,要在印制导线上设计电流的缺口,方便后续调试和监测。

在组件的布局中,要把稳压电源安排在单独的印制板上,当不得不合用时候,要尽力让电路中的各种元件的布置分开,且不能让电源和电路的地线相互重合或者是发生共用。

3、扇出设计

在扇出设计阶段,表面贴装器件的每一个引脚至少应有一个过孔,以便在需要更多的连接时,电路板能够进行内层连接、在线测试和电路再处理。为了使自动布线工具效率最高,一定要尽可能使用最大的过孔尺寸和印制线,间隔设置为50mil较为理想。要采用使布线路径数最大的过孔类型。经过慎重考虑和预测,电路在线测试的设计可在设计初期进行,在生产过程后期实现。根据布线路径和电路在线测试来确定过孔扇出类型,电源和接地也会影响到布线和扇出设计。

4、手动布线以及关键信号的处理

手动布线是在整个印制板电路设计布线中的重要环节之一。通过手动布线可以让自动布线工具能更方便更顺利的实施自动布线过程,在手动布线中,手动选出网络,并加以固定,有利于形成自动布线的可靠布线路径。

在手动布线过程中,要科学对关键信号实施布线设计,实施手动布线为主,并采用自动布线工具辅助,在关键信号布线完成后,必须要有专业人员进行质量检测,看各种标准是否符合国家要求。在检测完成后,可以把已经布置好的线路固定,再实施对剩下的信号布线。

在手动布线中,地线中存在阻抗,使得电路中存在一定的阻抗干扰,故在布线过程中,要谨慎连接带有地线符号点的连接,否则会产生耦合坏损,电路难以正常运行。

5、自动布线技术

对关键信号的布线需要考虑在布线时控制一些电参数,比如减小分布电感等,在了解自动布线工具有哪些输入参数以及输入参数对布线的影响后,自动布线的质量在一定程度上得到保证。

在对信号进行自动布线时应该采用通用规则。通过设置限制条件和禁止布线区来限定给定信号所使用的层以及所用到的过孔数量,布线工具就能按照工程师的设计思想来自动布线。在设置好约束条件和应用所创建的规则后,自动布线将会达到与预期相近的结果,在一部分设计完成以后,将其固定下来,以防止受到后边布线过程的影响。布线次数取决于电路的复杂性和所定义的通用规则的多少。现在的自动布线工具功能非常强大,通常可完成100%的布线。但是,当自动布线工具未完成全部信号布线时,就需对余下的信号进行手动布线。

五、走线的注意事项

1、印刷电路板导线线走线时拐弯一般取斜45度线,直角或尖角在高频电路和布线密度高的情况下会影响电气性能。在双面布线时,两面的导线应该相互垂直、斜交或弯曲走线,避免相互平行,以减少寄生电容。

2、导线的最小间距主要由最坏情况下的线间绝缘电阻和击穿电压决定,一般要求2000V电位差之间线距离应该大于2mm。在布线密度低的情况下,间距应该尽可能的大,通常线间距最好不要低于0.3mm。

3、当使用过孔完成走线的换层时,注意过孔的位置不能放在数字芯片下方。因为这样会引入不必要的电磁干扰,使元件工作不稳定。

4、元件的排列方位尽可能保持与原理图相一致,布线方向最好与电路图走线方向相一致,因为焊接过程中通常需要在焊接面进行各种参数的检测,故这样做便于生产中的检查,调试及检修。

5、同一级电路的接地点应尽量靠近,并且本级电路的电源滤波电容也应接在该级接地点上。特别是本级晶体管基极、发射极的接地点不能离得太远,否则因两个接地点间的铜箔太长会引起干扰与自激,采用这样“一点接地法”的电路,工作较稳定,不易自激。

六、结束语

以上是对印制电路板设计过程中的布线技巧的分析与探讨,在实际操作中,布线是否合理严重影响的电子产品的功效,因此施工人员应该本着严谨的施工态度、科学的布线技巧进行印制电路板的布线,这样才能显示自己的职业素养和专业技能,切实可行地提高人民的生活品质。

参考文献:

[1]周斌 赵肖运 印制电路板的电磁兼容性设计 中国集成电路-2010年5期

[2]贺宁 印刷电路板设计中的布线布局技巧 科技与生活-2010年11期

第8篇:集成电路的设计范文

关键词:Multism;仿真;数字电路;课程设计

中图分类号:TP311.52 文献标识码:A 文章编号:1007-9599 (2011) 23-0000-01

Implementation of Multism-based Digital Alarm in Digital Circuits Curriculum Design

Li Yan1,Zhang Yiyang2

(1. Information Engineering School of Jilin Business and Technology College,Changchun 130062,China;2.Armored Force Institute of Technology, Changchun 130031,China)

Abstract:In this paper,digital teaching specific content,for example,specify Multism simulation software in teaching digital circuit applications.

Keywords:Multism;Simulation;Digital circuit;Curriculum design

一、引言

数字电路课程设计作为一门理论与实践并重课程,重点培养学生在数字电子综合设计过程中,对实际问题进行分析和解决的能力,以提高学生在数字电子领域的知识、经验、方法等综合技能。由于条件限制,目前数字电路课程设计以理论分析为主,缺少相应实践环节。本文针对学校实验设备配置和学生实际情况,提出基于multisim虚拟课程设计教学方法,以进一步增强学自主性,充分激发和挖崛学生创新潜力。

二、Multism简介

Multisim是美国国家仪器(NI)有限公司推出的以Windows为基础的仿真工具,它包含了电路原理图的图形输入、电路硬件描述语言输入方式,具有很广泛的仿真分析能力。适用于板级的模拟/数字电路板的设计工作。工程师们可以使用Multisim交互式地搭建电路原理图,并对电路进行仿真。Multisim提炼了SPICE仿真的复杂内容,这样工程师无需懂得深入的SPICE技术就可以很快地进行捕获、仿真和分析新的设计,这也使其更适合电子学教育。通过Multisim和虚拟仪器技术,PCB设计工程师和电子学教育工作者可以完成从理论到原理图捕获与仿真再到原型设计和测试这样一个完整的综合设计流程。

三、基于Multisim的计数报警器设计

(一)任务分析

1.总体方案确定。根据系统的逻辑关系将系统分解,画出系统的原理框图,确定框图间各种信号的逻辑关系与时序关系。

2.课题分析与研究。。了解以上设计要求后,确定设计系统的全部功能,要求及计数指标,熟悉控制对象和处理信号的各种参数,特点和关系。

3.单元电路绘制与仿真。选择合适的数字电路器件,用Multism仿真,并绘制各单元的逻辑电路图。

4.分析电路。充分分析单元电路,尤其对控制信号要从逻辑关系,正反极性,时序几个方面进行深入考虑,确保不发生冲突,在深入分析的基础上通过对原设计电路的不断修改,最后获得最佳的设计方案。

(二)方案论证

1.555定时器的连接。555定时器是一种功能强大的模拟数字混合集成电路,有二个比较器A1和A2,有一个RS触发器,R和S高电平有效。三极管VT1对清零起跟随作用,起缓冲作用。三极管VT2是放电管,将对外电路的元件提供放电通路。比较器的输入端有一个由三个5kW电阻组成的分压器,由此可以获得和两个分压值,一般称为阈值。555定时器的1脚是接地端GND,2脚是低触发端TL,3脚是输出端OUT,4脚是清除端Rd,5脚是电压控制端CV,6脚是高触发端TH,7脚是放电端DIS,8脚是电源端VCC。555定时器的输出端电流可以达到200mA,因此可以直接驱动与这个电流数值相当的负载,如扬声器、发光二极管等。

2.发光二极管的连接。LED产品的种类繁多,有共阴极电路,还有共阳极电路。本次设计采用共阳极电路。

3.74ls192和74ls247。74ls192异步十进制计数器这个计数器是十进制的,在设计时电路比较简单,我们在学习进制设计时已经使用过,基本了解它的各项功能。对于六进制的设计不是很大的问题,同时由于RST清零,L`D`的保持功能可以很方便的实现清零开始,暂停继续这两个功能。因此我选用这种方案。

四、具体方案实施

1.利用数字电子技术基础知识设计一个计数报警器,该计数报警器的设计采用的元件主要有译码器74LS247、十进制计数器74LS192、555组成的单稳态触发器。该计数报警器计数最大值是99,当计数溢出时放出声光报警,报警时间为10秒,计数脉冲由按钮和555组成的单稳态触发器产生。数字电路系统一般包括输入电路、控制电路、输出电路、时钟电路和电源等。输入电路主要作用是将被控信号转换成数字信号,其形式包括各种输入接口电路。比如数字频率计中,通过输入电路对微弱信号进行放大、整形,得到数字电路可以处理的数字信号。模拟信号则需要通过模数转换电路转换成数字信号再进行处理。在设计输入电路时,必须首先了解输入信号的性质,接口的条件,以设计合适的输入接口电路。

2.选择74ls192两片、74ls247两片、74ls04一片、数码管两枚、电阻若干、电容若干、开关一个。首先根据74ls192的功能表的功能,将两片74ls192连成十进制计数器,是输出的数字为从1到99,然后清零。用相应电阻和电容以及555组成单谐振荡器,和74ls192相连,根据74ls247的功能,分别将两片74ls247连接到两片74ls192的相应端,然后将共阳极数码管两枚分别于两片74ls247相连,中间加100欧电阻,先在草稿纸上绘制实现功能的草图,经过反复的修改论证,以期达到最理想的状态。然后用multism软件根据原先绘制的电路图绘制各单元的相应逻辑电路图,进行仿真。

3.用multism软件根据原先绘制的电路图绘制各单元的相应逻辑电路图后,进行仿真,期间可能会出现不能正常实现原先所设定的功能,但经过思考与修改,设计出能正常实现所要求的功能的电路图,用multism进行仿真,得出所要的结果。在各单元电路设计的基础上,用multism软件把各单元电路连接起来,绘制出符合软件要求的系统整体逻辑电路图。系统整体电路设计完成后,对系统整体进行仿真,验证设计的正确性。

五、结论

针对学校实验设备配置和学生实际情况,并通过基于multisim虚拟课程设计教学方法,使学生对数电这门课有了更深的了解,锻炼了学生的动手操作能力,以及把所学知识运用到实际的生活当中去的能力,并进一步增强学自主性,充分激发和挖崛学生创新潜力。

参考文献:

第9篇:集成电路的设计范文

摘要:文章指出了静电的危害,并说明了静电的防护机理,电路设计中的静电防护措施,并探讨了电子工厂生产过程中是如何进行有效的防静电管理。

静电防护就是通过有效的控制手段来预防静电对静电敏感元器件造成伤害,它需要从电路设计、元器件选择、生产制造、搬运与存储以及使用等等全过程的方方面面加以防护和控制,才能达到有效预防静电对电子产品造成伤害。

一、静电的危害

随着科技的进步和工业的高速发展,一方面,许多高分子材料被迅速推广应用,一些电阻率很高的高分子材料(如塑料、橡胶等)制品的广泛应用以及现代生产过程的高速化,使得静电能积累到很高的程度;另一方面,静电敏感材料的生产和使用(如轻质油品、火药、VMOS/CMOS电路芯片等),工矿企业受静电的危害越来越突出,静电危害造成了的后果和损失也就相当严重。

人们的日常活动即可产生高达几万伏的静电,而人手的神经可感觉到静电的最低电压也在3000V以上,但却只需要10V的静电释放就可毁坏某些对静电极度敏感的电路芯片。如果不加以防护,电子产品即可在不知不觉的过程中就被静电释放造成伤害,酿成无法弥补的损失。据统计分析有 59%的电子元器件损坏是由于静电释放造成的,这种损坏有两种形式,一种是灾难性的损坏,它造成元器件功能丧失,这种情况约占静电对元器件造成的总损坏的10%;另一种是潜在性损坏,这种损坏虽然没有丧失元器件应有功能,但却使元器件的性能下降,或降低元器件使用寿命,这种情况约占静电对元器件造成的总损坏的90%。据统计美国电子工业一年的静电损失就超过100亿美元,日本超过80亿美元,中国目前还没有这方面的权威统计数据,估计会更高。因此,在电子制造行业内保护电子元器件免受静电释放的损坏是非常重要的。

二、静电的防护机理

病毒对人体的感染必须具备三要素:感染源、感染途径、易感人群。同样地,静电对电子元器件的损坏也必须具备三要素:静电电势、释放途径、敏感元器件,三者缺一不可。因此,我们在进行静电防护时,只需要消除三个因素中的一个因素即可起到静电防护的作用。但静电无处不在,我们要想消除静电电势几乎是不可能,唯一的办法是削弱静电电势,静电电势与空气湿度有关(如表1所示),空气湿度越大,静电电势就越低,但我们又不能无限制地增加空气湿度,因为空气湿度越大,湿敏元器件就越容易受潮,元器件一旦受潮,通过高温焊接就极易损坏元器件(这就是所谓的“爆米花”现象),因此,我们需要将环境湿度控制在一定的范围内(一般在40%RH~70%RH)。

静电产生的方法 静电电压(V)

10%RH 40%RH 55%RH

人在地毯上行走 35000 15000 7500

人在塑料地板上行走 12000 5000 3000

坐在椅子上的工人 12000 5000 3000

从包装箱上拿出泡沫 26000 20000 7000

无接地措施时人体的运动 6000 800 400

穿着合适的脚带在静电地板上行走 <15

表1各种动作产生静电电压

其二,是保护静电敏感元器件,部分电子元器件的静电击穿电压如表2所示,我们在进行电路设计时,在这些容易被静电击穿的电子元器件设计一些保护电路,就可以起到保护静电敏感元器件的作用。

再者,就是控制静电释放途径,这是电子产品生产制造过程中普遍采取的措施,让产生的静电安全释放。那么,在电子制造业究竟如何保护电子元器件才能使电子元器件免受静电释放的损坏呢?一提及该问题,人们往往只想到在生产制造过程中的静电防护,常常会忽略如何在电路设计过程中通过设计一些保护电路来达到静电防护的目的。本文就从电路设计和生产制造两个方面来浅析静电防护措施。

器材类型 ESD最小敏感电压(V)

VMOS 30~1800

MOSFET 100~200

砷化镓FET 100~300

EPROM 100以上

JFET 140~7000

SAW(声表面滤波器) 150~500

运算放大器 190~2500

CMOS 250~3000

静电对部分肖特基二极管的击穿电压 300~2500

SMD薄膜电阻器 300~3000

双极性晶体管 380~7800

射极耦合逻辑电路 500~1500

可控硅 680~1000

肖特基TTL 100~2500

表2部分电子元器件的静电击穿电压

三、电路设计中的静电防护措施

在进行电路设计时,尽可能选用静电敏感度电压伏值高的电子元器件。特别是接口电路,应尽可能选用静电敏感度为3级(静电损伤阈值电压大于4000V)或对静电不敏感的电子元器件。否则应在输入输出接口电路上应采取保护措施。保护电路的放置位置,对于布置在PCB板周边或靠近连接器的接口电路,其保护电路应紧靠地线或连接器放置,其余的保护电路应紧靠被保护的芯片放置。

对于容易受到静电损伤的电子元器件,如NMOS、CMOS类电子器件或其它一些静电敏感度为1、2级的电子元器件,应该尽量远离易受静电冲击的区域,且每一个电路应尽可能紧靠。容易受到静电干扰的信号线(如时钟线、复位线等)应尽可能短而宽,多层板中的时钟线、复位线应在两地平面之间走线。

图1基本控制电路

在PCB的电路周围设置一个环形地,如图1所示。环形地线宽应大于 3mm,分别铺设于 PCB 板的两个表层(顶层Toplayer 和底层Bottomlayer)上,内层上可以不铺设环形地,并每间隔13mm 用过孔将各层的环形地连接在一起。两个表层的环形地铜皮上不要覆盖阻焊层(绿油),而采用裸铜或同焊盘一样做喷锡处理,以保证两个表层环形地表面良好的导电性能。环形地与PCB板内部线路应保证3mm 以上的间距,工作地汇聚后可最终与环形地相连,环形地可通过安装孔用螺钉与金属机壳相连。尽可能使用多层PCB,将电源层和地线层独立铺设在PCB板的内层,这样可以有效减小信号线与地线之间共模阻抗和感性耦合,并且尽量地将每一个信号层都紧靠一个电源层或地线层。对于较复杂的电路板或高密度电路板,还可以考虑使用内层信号线层,但两信号层之间应用电源层或底线层来隔开。对于双面PCB来说,要采用紧密交织的电源和地栅格,电源线紧靠地线,在垂直和水平线或填充区之间,要尽可能多地连接。

CMOS器件及其它重要IC芯片所不用的输入输出引脚最好不要独立悬空,应视引脚不同功能将其单独或相连后分别接到地线、电源的Vcc、Vss、VDD上,CMOS器件的输入端如果接的是高阻源,则应设计上拉或下拉电阻。两块或两块以上电路板的接地线通过连接器进行连接时,最好有多个插针接地,以保证静电泄放地回路的通畅。电源输入端应加入瞬态过压抑制器件(TVS),PCB板上电源走线过长时,应每隔50mm 在电源线与地线之间安装一个0.1uF的陶瓷滤波电容器。信号线过长时,应与信号线平行布一条地线。

安装在印制板上或安装在机壳与操作面板上容易被人体接触的部件(如复位按钮、拨码开关、小面板、按钮、键盘、旋钮等)应采用绝缘物,如带有金属外壳,其金属外壳应尽可能有良好的接地,优先接静电保护地形环,如没有设置静电保护地形环,则接工作地。

四、电子工厂生产过程中如何进行有效的防静电管理

1、 EPA区域的静电防护等级确定

为了全面的产品静电防护等级静电防护小组应收集公司产品的类型、静电敏感器件的类型、静电敏感器件的防护等级、每种产品占总产品的比重;同时静电防护小组应该关注不同客户的需要确定EPA区域的静电防护等级;第三,对于目前电子企业特别是国内企业领导层的意见往往起着关键作用,因为每个公司即使相同的器件,由于用途不一样对于器件失效的比例接受度不一样。领导层对于器件失效的比例接受度有很大的决定作用,因此充分了解领导层的期望是项目组在静电防护区域等级分类确定时必须考虑的一个环节。

2、建立静电防护规范体系

首先,为了便于电子企业能快速制定出静电防护规范体系,并且规范出完全满足电子产品生产的防静电要求,项目经理应组织对相关国际、国内静电防护标准学习特别是对于最新的国际标准。为了使静电防护体系能够有效运行在建立静电防护体系基本框架结构之后,需要对各个过程及所涉及的相关活动进行描述。因此,应高度重视静电防护体系规范文件编制这一环节。规范的内容应体现协调性、可操作性和可检查性编制规范应特别注意保持完整性、系统性和层次性规范的内容应当具有完整性和可行性,因为静电防护体系是有关人员从事静电防护活动的依据所在。

3、静电防护区域建设

首先是环境建设,要依照静电防护区域的具体要求和防护等级制定相应防静电环境。我们可以用鱼骨图分析法来定义静电防护环境建设的影响因素,并从中挖掘出主要因素环境建设一般包含以下几个方面:防静电的标识、防静电地板、环境的温湿度、EPA区域接地、环境离子浓度、防静电工作台其次是设备控制,由于静电敏感器件在生产过程中接触最多的就是电子工厂的生产设备,因此设备的漏电将对静电敏感器件造成巨大的影响,且这种影响是以批量单位计算的,因此,在EPA建设中设备的控制也是一项重要的环节。一般我们从设备中与静电敏感器件接触材料方面、设备的接地等方面来考虑设备控制问题找出引起器件失效的设备是设备控制的关键所在,我们还是可以采用鱼骨图分析法来进行设备控制分析。第三是人员控制主要应从人员的操作过程、人员的穿着以及人员静电防护规范的遵守等方面来考虑。第四是材料控制主要从电子产品的使用环境以及静电敏感器件的包装方式入手。一般来说,直接接触比间接接触要求要高,静电防护区域外部比静电防护区域要求较高。

结束语

静电防护是电子工业永恒的话题,也是电子设计与制造工程师们不断探索和研究的课题。大量的事实告诉我们,在设计、制造以及使用电子产品时,必须高度关注静电防护,只有加强了静电防护,才能减少静电对电子产品造成的伤害。

参考文献

[1]许缪编.电机与电气控制[M].机械工业出版社,2009(07):182.

[2]麦崇裔编著.电气控制与技能练[M].电子工业出版社,2010(01):71.