公务员期刊网 精选范文 逻辑电路设计方法范文

逻辑电路设计方法精选(九篇)

前言:一篇好文章的诞生,需要你不断地搜集资料、整理思路,本站小编为你收集了丰富的逻辑电路设计方法主题范文,仅供参考,欢迎阅读并收藏。

逻辑电路设计方法

第1篇:逻辑电路设计方法范文

关键词:数字电路与逻辑设计;教学方法;教学质量

1 课程的现状

在高速发展的电子产业中数字电路具有较简单又容易集成的特点,是集成电路设计的基础。数字电路又是现代电子技术、计算机硬件电路、通信电路、信息与自动化技术的基础。因此,《数字电路与逻辑设计》是电子、通信、计算机、自动化等专业的重要基础课程,其理论性和实践性很强。

在当今信息数字化时代,随着CMOS工艺的发展,式子电子技术中TTL的主导地位被撼动。在工程实践中,数字电路的文本描述已逐渐取代图形描述。FPGA/CPLD器件的大量应用,也改变了数字系统的设计理念、设计方法,使数字电子技术开创了新局面,不仅规模大,而且将硬件与软件结合,使器件的功能更加完善,使用更灵活。因而,数字电路的教学内容也需要不断更新与改进,已适应人才培养的需要。

对以电工基础及电子电路为基本的理论基础知识,由于其逻辑性极强、极具抽象性、并枯燥无味,对该门课程有极大兴趣的学生不多,大部分学生都感到难学、学不懂、不会学,对各种电子产品的结构特别是在电路结构、电路工作原理分析方面,更是觉得困难重重。由于缺乏学习兴趣,学生的学习纯粹是―种被动学习,也就是为了应付考试,最终的教学目的很难达到。

目前,大部分年轻老师都是直接从学生转变为老师的。在讲授这门课之前完全没有任何教学经验,更谈不上实践经验了。所以在教学过程中只是在简单完成教学任务,照搬书上的内容,没有将这门课程与当今科研技术结合起来,对激发学生的学习兴趣也并未起到积极的作用。在学校,数字电路与逻辑设计分为两部分教学,分别为理论知识与实验操作。大部分老师只承担某一部分的教学工作,很少同时从事两部分的教学工作。这样的话,会使理论与实践脱节,老师各讲各的,学生的学习效率也会相应降低。因此,教师应该重视这一状况。教师是否了解当前学科技术的前沿,能否更多地将当前新工艺、新电子元件、新仪器产品的使用等内容融入课堂教学是至关重要的。

考虑到上述现状,针对学校专业特点和有关课程设置,改革数字电路与逻辑设计课程体系已经成为大家的共识。

2 提高教学质量和效果的策略

2.1 学生学习兴趣的培养与提高

课堂教学是学校教育的基本途径,面对有些学生注意力不够集中,自律能力较弱的状况,怎么样使自己的讲课更有吸引力,激发学生的学习兴趣,这是很多教师关心的问题。针对以上问题,具体实施方案如下:

调研。采用无记名答卷调查以及课间交谈等方式,及时了解学生心理状态和学习状态信息,对学生的电路基础知识、学习兴趣、知识获得取向等进行统计和分析,为制定合适的教学计划、选取恰当的教学内容和教学方式打下基础。充分了解学生的心理状态和学习状态、现有的教学条件和实验条件,为课程教学质量的提高提供理论依据。

激发动机,学以致用。具体内容的授课过程中,尽量将理论内容和实际结合,寻找与人们实际生活息息相关的数字电路,让学生有种数字电路就在身边的感觉,拉近与数字电路的距离,而不是将数字电路作为一门距离很远的知识来学。

营造生动活泼的学习气氛。不论是在课堂教学中还是课后与同学们的交流中,尽量从学生的角度出发,走到学生身边,拉近与学生的距离,在教学过程中穿插一些幽默的语气,适当的让学生放松。

创设问题情境,让学生广开思路。在教学过程中,不是老师一味的讲,适当的时候可以引导学生,让学生自己思考。

关注学习过程,让学生品尝成功。积极关注学生参与学习的程度是教学成功的重要因素。没有学生积极参与的教学应该是失败的。教师在关注学生的同时,要积极创设机会让学生体验成功的。

2.2 教学过程中教学相长的互动性教学模式研究

这其实是一个在教学过程中以谁为主的问题,也是很多教师一直在探索的问题,大学专业课程基础较宽、内容较丰富,要完全实施互动式教学模式会与课堂人数众多以及课时的限制之间发生矛盾。目前一般数字电路基础及专业基础课程的教学,基本仍采用教师详细讲解每个知识点和例题的模式,这是解决上述矛盾的最方便直接但却不是最好的方法。鉴于课时的限制,挑选合适的内容和时间逐步进行互动式教学还是切实可行的。除此之外,最大限度地将重要知识点、特别是在工程实际和深造过程中应用较多的知识点以应用实例体现出来,解决学生“有没有用”和“怎样用”的疑问,也调动起学生的学习积极性;条件允许还可进行实物演示,或提供多媒体材料(如教学录像、flash等)、书面参考资料及电子资源,引导学生掌握科学的学习方法和严谨的科研思维方式,达到互教互学、学有所用、轻松愉快的学习效果。

在“教”环节,充分借鉴现有教改科研成果,形成本课程特色的教学方式,并在内容上恰当加入相关专业领域的科研成果、科研思想来丰富理论内容、拓宽知识面以掌握本专业领域发展现状与趋势,力求把枯燥无味的理论公式、物理概念和科研思路通过具体的数字电路实例表现出来;在“学”环节,积极引导学生在掌握好理论知识前提下,发展分析和设计数字电路的能力,形成“学有所用、学以致用”的科研思维方式,选取合适的内容在合适的时机采取学生分组讨论并鼓励他们走上讲台讲述各自的理解,教师则加以肯定和补充,从而增强学生的学习积极性,逐步形成互动式教学模式。

对这门课程的知识体系、教学方法作进一步的研究,充分利用网络资料,掌握数字电路领域发展现状和趋势,了解并借鉴相关学科的现有科研成果,并恰当运用于本课程教学过程、课后习题布置以及课程考核过程中,使其跟得上科学发展的步伐。借鉴国内外高校的先进教学模式,充分调动学生的学习积极性,选择内容进行分组讨论并鼓励学生走上讲台、辅以教师补充,建立教学相长的互动性教学模式。

2.3 课程设计强化实践能力的研究

本课程的突出特点是其应用性和工程实践性,因此需要通过各种实践教学手段和措施提高学生的认知和应用能力。在课程快结束时可安排课程设计环节,培养学生运用课程中所学到的理论知识与实践技能,独立地解决实际问题。可以设计传统的一些数字电路,例如:声控器、温控器、交通控制灯、序列码发生器和频分计等。学生也可以发挥自己的创造力对这些题目进行改进,扩展它们的功能,或者学生可以对自己感兴趣的数字电路进行研究以及利用所学知识设计某种功能的数字电路。通过课程设计,提高学生独立进行电路调试和分析能力,培养学生接受新事物的能力,开发学生运用所学知识解决实际问题的技能。

根据教学大纲要求,课程配套实验属于验证性实验,这对学生科研动手能力和知识掌握程度的要求并不高,而学生对不同知识点或实验的掌握理解和兴趣不尽相同,对课程中物理概念的理解以及是否需要更深入探索的需求也不一样,因此按照学生的上课情况及基础掌握程度进行分组课程设计,并针对各组按情况给出难度适中的课程设计题目或要求,通过团队合作来设计数字电路系统并对实验现象进行解释和解决,这样非常有助于学生加深理论知识理解和锻炼理论联系实践、团队协作的能力。

大量引入实际范例以激发学生的学习兴趣,从而让学生从被动学向主动学转变,鼓励学生积极思考、勇于探索、勤于实践,利用所学理论知识,能对实际应用进行分析和解释,从而加深对课堂理论知识的理解,达到“在课堂上学理论,在实践中习真知”的效果;通过增加课程设计环节培养学生设计特定功能器件的能力。

2.4 合理灵活的考试机制探索

历年的考核方法教师沿用了期末结合平时成绩的形式,期中成绩占30%,期末成绩占70%。从历年的教学经验来看,这种考核机制存在严重的弊端。许多学生为了能拿到高的平时分,相互抄袭现象非常严重,但是老师在认定抄袭上相当困难,所以不能单纯从作业情况来评定一个学生的平时成绩。很多学生平时不认真预习,上课不认真听讲,课后不认真复习,为了应付期末考试,到考前临时抱佛脚,把过多的时间和精力放在套题和猜题上。集中考前几天时间把历年试卷看下,有的甚至直接背下答案。这样的话,这门课的学习以及教学目的并未真正达到。而且这种考试机制下,会导致老师在教学过程中想到的只是怎么提高学生的期末成绩,素质教育已抛到脑后。基于上述情况,教师改变这种传统的考核机制,结合多种考核形式,综合评定学生,具体方案如下:扩到平时成绩的比例,可适当扩到50%,平时成绩包括平时作业、课堂测验、设计作业以及附加分。不单纯的以作业情况计算平时成绩,而是在教学过程中,随机的进行课堂测验,当场交,将每次测验成绩计入平时分;在结束这门课程之后,学生利用所学知识对某个实际数字电路进行分析或者设计某个数字电路,以大作业的形式上交,并计入平时成绩;最后,还可以在课堂练习的时候,给优先得到正确结果的学生相应奖励,比如平时分加5分。在期末考试出卷上,可以邀请外校相关学科的老师出卷,这样避免每年试卷题目都相似,也遏制学生背题、猜题的想法。

第2篇:逻辑电路设计方法范文

1明确教学目标

不管是哪一门学科的教学,明确教学目标都是非常重要的。只有明确了教学的目标,课堂教学才能够有序的进行。例如,某个高职机电院校的教师,其所教育的学生有一些基本的电子产品装配的经验,他们对新鲜的事物有较强的接受能力,并且十分喜欢亲自动手进行试验操作。由此,这名教师就通过对教材的分析和研究,为学生确立了“了解组合逻辑电路设计的步骤及其设计思维”的教学目标。这一教学目标需要学生积极的参与课堂内容,并且对课堂的内容进行简单的动手操作,制作出简单的电子产品。在教学进行的过程中,教师采用分组进行的教学方式,将学生固有的实习经验应用在课堂之中,从而提高学生的团队合作精神和学生对课堂的兴趣。

2改进教学方法

教学方法是应该不断的改进和创新的,固有的教学方法会随着时代的发展和特定情况的出现而受到影响,出现弊端。只有不断更新教学方法,才能避免旧方式弊端的出现。而且教学方法的巧妙运用能够明确学生学习的内容还能够提高课堂的积极性和学生的学习兴趣。例如,某校教师在课堂教学中采用情景教学的方式,在教学的过程中为学生设立各种问题,通过各种方式启发学生自主寻找答案。这种方法大大提高了学生的学习能力。除此之外,采用分组合作的方法或者任务驱动的方法也对课堂教学的效率提高有所帮助。

3教学的组织和实施

3.1情景设置,任务导入

对于情景的设置可以通过播放视频和图片的方式来进行。例如,为学生播放中国达人秀的视频,让同学们对节目海选中评委所使用的表决器进行观察,其后通过图片的方式对这种表决器的优点及其实用性进行分析和说明:这种表决器在各类综艺选拔类节目中普遍应用,不仅如此,在体育竞赛或者人大表决的时候也时常会应用到这种表决器。在视频和图片的帮助和引导之下,学生会逐渐的对课堂产生兴趣,从而开始对表决器的组织结构进行思考和分析。这种方式就大大的提高了学生的课堂效率和对课堂的集中程度。此外,还要做好课堂任务的布置。视频和图片的说明再具体详尽也不如学生亲自动手操作来的直观具体。所以,除了观看视频和图片之外,教师还可以鼓励同学进行简单的动手操作。以表决器为例,教师可以为学生播放表决器制作的的基本流程和理论,通过教师的讲解和学生自主的观察,在教师的引导下使学生运用组合逻辑电路设计的知识理论进行表决器的基础设计,从而使学生带着任务学习,激发学生在学习过程中的探索精神。

3.2实施任务

组合逻辑电路设计大约分为四个步骤:通过对逻辑问题的分析和理解列出真值表、通过真值表来进行逻辑表达方式的书写、再将逻辑表达方式进行简化和变换的输出、最后画出电路逻辑图。在教学过程中,为了使学生顺利的完成教学任务,一定要让学生合理有序的进行组合逻辑电路的设计,并且在教学的过程中对学生加以启发,使学生能够自主的思考问题并且提出问题。鼓励学生进行积极的思考,活跃自己的思维。也可以采用分组的形式对教学任务进行实行。将学生分成固定人数的小组,对小组内的各个成员进行合理具体的分工,这些分工可以包括采供部、销售部、产品研发部等等。其中采购部主要负责实验操作中所需要零件和工具的采集购买,以及对零件、仪器和制作出来的成品进行效果检测。

销售部的成员可以负责小组制作的产品在目前市场中的市场调研和信息采集。产品研发部可以负责查阅各项资料和相关的文献,对所要制作的产品进行深入的研究,并且及时对其所具有的新功能、这个物品在市场上的反馈以及其上一次进行的改良时间进行了解和分析,使小组将要制作的物品能够适应现代市场的需求,有合理的实用性。通过合理的分工合作和职能分配,可以将学生全部带入到动手操作的过程之中,并且使学生在各项调查和分析的过程中了解到更多关于组合逻辑电路设计的知识,使学生在学习组合逻辑电路设计的时候有更加清晰的认识,提高学生的动手能力和思考能力,调动了学生在课堂上学习的主观能动性。除此之外,在任务计划推行的过程中,教师也要对学生的操作能力和实践经验充分的了解和考虑,在课堂上教师主要负责引导学生,而学生作为课堂的主体来展开教学内容。教师可以通过多媒体讲解等方式来对学生作出示范,从而引导学生进行正确的实践流程。

此外,教师还要对学生无法掌握的重点和难点进行归纳和总结,将这些重点、难点详细的为学生进行讲解,还要对学生容易出现操作错误的部分进行及时的纠正和正确的操作演示。在学生遇到操作瓶颈的时候给予学生适当的启示和帮助,避免学生产生消极情绪。将自己的经验以及一些操作技巧传授给学生。例如,在进行操作的时候发现某一个小组的成员只懂得理论逻辑,并没有具体的实践经验,这就需要教师帮助学生对电路的设计进行构建以及变量的输出处理等等问题。在教师的协助之下学生通过自己的思考得出答案。在任务完成之后,小组成员之间要进行经验的交流和总结,归纳出本组所出现过的问题和情况。并且将小组作品进行班级内的展示,选派一位同学对本组产品的构造原理、设计思路等内容进行阐述和分析。最后教师对各组的产品进行分析和评价,及时向学生反馈学生操作中所出现的各类常见问题。对优秀的小组进行鼓励和赞赏,增强学生学习的自信心。

4结束语

第3篇:逻辑电路设计方法范文

关键词:数字逻辑;实验教学;实验课题设计;教学改革;VHDL

自20世纪90年代以来,随着电子科学技术的进步,大规模集成电路PLD芯片逐渐取代了数字系统中传统的分离元件和小规模集成电路。同时,数字系统和计算机系统的设计方法向“硬件设计软件化”转变。用硬件设计语言设计数字系统和计算机系统的技术日益成熟且越来越广泛地得到应用。虽然,高校数字逻辑课程的教学内容也有一些相应的调整,但是实验教学的改革往往明显落后。

数字逻辑是计算机科学与技术专业重要的基础课之一,也是学生感觉学习比较困难的课程之一。我校计算机专业的数字逻辑课程的实验教学过去一直是在实验箱上插接集成电路芯片和连接线的方式,实验内容以验证性实验为主,实验效果很不理想,实验教学与理论教学的衔接不好,没有真正起到“通过实验加深对理论知识的理解”和“理论与实际相结合”的作用。学生虽然在课堂上学习了VHDL编程并做了一些习题,但是并不知道究竟什么样的程序才是正确的,而要想知道VHDL程序是否正确的唯一方法是编译和仿真。为了提高数字逻辑课程的教学效果,并且与新增加的VHDL语言教学内容相呼应,笔者进行了数字逻辑课程的实验教学改革探索。通过“做中学”[1],使学生真正掌握用VHDL进行逻辑设计的方法。放弃在实验箱上插接集成电路芯片和连接线的方式,改变为在Quartus软件平台上用VHDL语言编程和仿真实验。从以验证性实验为主转变为以设计性实验为主,不仅使学生学到了最新的技术,而且为后继课程计算机组成原理的进一步教学改革奠定了基础。

1实验课题的设计

根据教学计划,本课程的实验为12学时,安排6个实验。除第1个实验是熟悉Quartus系统的使用外,其余5个实验都是设计性实验。

1.1设计思想

实验课题的设计是开展设计性实验教学必须妥善处理的关键问题之一。实验课题应该有合适的难度,使得大部分学生在现有基础上通过自己的分析和努力能够做出设计(不一定是完全正确的设计)。实验课题应该在本课程教学的重要知识点范围内,通过实验可以使学生更好地掌握相关知识点,实现理论教学与实验教学相辅相成。实验课题应该在书本或网络等其他信息源上没有现成的解答,学生必须自己进行分析设计才能得到解答。

在高度网络化和信息化的今天,各种教材、参考书和网络上已经有很多的VHDL语言程序的实例,为了保证学生是真正做设计,笔者在设计实验课题时也广泛查找了资料。有几个设想的课题就因为发现有相同的VHDL语言程序实例存在而被否定。最后确定的5个实验课题,到目前为止还没有发现有相同的VHDL语言程序实例。这5个实验课题包括2个组合逻辑设计实验课题和3个时序逻辑设计实验课题。实验课题的难度和复杂程度是逐渐增加的。除了基本实验外,还为少数学有余力的学生设计了选做题目。

1.2实验课题

1) 实验课题一。

设计一个代码转换逻辑电路。把7位的ASCII码转换成7段字符显示代码。能显示数字0~9,字母A、b、C、d、E、F、H、L、o、P、U、Γ和一些符号(-、_、=、┫、┣、、)等。用VHDL语言编程并仿真。

2) 实验课题二。

设计一个多功能的运算器,有控制信号M、S2、S1、S0。当M=1,在S2、S1、S0的控制下能完成两个1位二进制数A、B的以下算术运算:A加B,A加1,A加B和低位来的进位,B加1,A加 ,A加0,A加A,A加 加1。当M=0,作逻辑运算。在S2、S1、S0的控制下能完成两个1位二进制数A、B的以下逻辑运算:A+B,A•B, , , , , , ,等。用VHDL语言编程并仿真。

3) 实验课题三。

设计一个自动售饮料机的控制逻辑电路。该机器有一个投币口,每次只能投入1枚1元或5角的硬币。当投入了1元5角的硬币,机器自动给出1杯饮料。当投入了2元的硬币,机器在自动给出1杯饮料时,还找回1枚5角的硬币。

确定输入/输出变量、电路的状态并化简,做出状态转换图、状态转换表。在完成以上逻辑设计后,用VHDL语言编程并仿真。

4) 实验课题四。

用74HC163设计一个十九进制计数器。用VHDL层次结构设计方法设计程序并仿真,底层器件是74HC163。

完成以上题目后,还可以选做题:用74HC163设计一个余3码计数器。用VHDL层次结构设计方法设计程序并仿真,底层器件是74HC163。

5) 实验课题五。

实验课题五有两个题目,学生可以任选一个。

题目一:设计一个可控计数器,当控制信号S=0时,是五进制计数器,当控制信号S=1时,是十五进制计数器。设计出逻辑图。分别用两种不同的方法设计(行为描述,结构描述),用VHDL语言编程并仿真。

题目二:设计一个数字钟电路,要求能用7段数码管显示从0时0分0秒到23时59分59秒之间的所有时间。做出逻辑图。用VHDL语言编程并仿真。

2教学效果分析

实验课题一的目的是强化译码器、7段字符显示代码和ASCII码等知识点。学生可以参考书上的BCD码-7段字符显示译码器的VHDL程序做这个设计,但是要实现那些特殊符号的显示还是需要动脑筋的。实验结果显示,学生基本上都能做出数字和字母的显示代码设计,但是极少有能做出那些特殊符号的显示代码设计的。

实验课题二的目的是强化加法器、全加器、算术运算、进位和逻辑运算等知识点,并且考虑到与后继课程计算机组成原理的ALU等知识点教学的衔接。学生做设计时可以参考1位全加器的VHDL程序。很多学生在做实验之前认为这个题目很简单,只要用VHDL语言的算术运算符就可以了。开始做实验才发现根本不是那样,必须先推导出每个运算功能的逻辑表达式才能编程,而相当多的学生忘记了算术运算还有进位的逻辑表达式。这个实验确实达到了强化上述知识点的目的。

实验课题三的目的是强化状态机和Mealy型时序逻辑电路设计等知识点。学生做设计时可以参考状态机的VHDL程序。经过这个实验,大部分学生真正懂得了什么是状态机,时序逻辑电路是在时钟信号的作用下发生状态转变的,另外还有怎样确定有哪些状态和做状态化简。

实验课题四的目的是强化计数器、用集成计数器实现任意进制计数器和Moore型时序逻辑电路设计等知识点,也是学生第一次用VHDL结构描述的方法做设计。学生做设计时可以利用书上的74HC163的VHDL程序例子。通过这个实验学生进一步理解了触发器和计数器,掌握了用集成计数器实现任意进制计数器的方法和用VHDL结构描述做逻辑电路设计的基本方法。选做题是为少数学习好、能力强的学生准备的,使这部分学生有机会得到更多的训练和提高。选做题还可以使学生掌握余3码的概念,确实也有很少的几个学生完成了选做题。

实验课题二和实验课题三都是用行为描述的方法进行逻辑电路设计,比较容易掌握,实验成功率较高,而实验课题四要求用结构描述的方法做逻辑电路设计。在实验中间发现,相当多学生并没有理解结构描述的概念,也不知道应该怎样做。因此,实验课题五继续强化用结构描述的方法做逻辑电路设计。

实验课题五题目中的第一个,目的是巩固用集成计数器实现任意进制计数器和Mealy型时序逻辑电路设计等知识点。同时,也使学生进一步掌握用行为描述和结构描述进行设计的方法。虽然这个题目相对第二个题目要简单一些,但是由于要求分别用行为描述和结构描述两种方法进行设计,所以总的工作量比实验课题四要多。这两个题目中的第2个不仅难度更大、更复杂,而且其设计还要考虑如何仿真的问题,是一个有挑战性的题目。然而,选择这个题目的学生却出乎意料得多,而且有若干种不同的设计思想,既有用结构描述的也有用行为描述的。虽然在2个小时的时间内,几乎没有学生完全正确地完成这个高难度实验的设计和仿真,但是有个别学生在以后的几个星期里仍然继续探讨并最终正确地完成了这个实验。像数字钟这样的复杂实验,在过去想要用中小规模集成电路在实验箱上插接连线的方式完成是不可想象的,但是现在用Quartus系统上设计和仿真的方式却是可以完成的。

设计性实验比验证性实验的难度有明显提高,学生也要花更多的时间做预习、设计和写实验报告。在学习数字逻辑之前的各课程(物理、电路、模拟电子)实验都是验证性实验,大部分学生已经养成不做实验预习的习惯。在做第一个实验(熟悉Quartus系统)时就发现很多学生基本上是进了实验室才开始看实验指导。结果是两个小时过去了,一部分学生并没有掌握VHDL程序调试和仿真的基本方法,个别学生输入的源程序甚至连编译都没有通过。针对这个问题,我们采取了要求学生提前做实验预习,写出实验设计和程序才允许进实验室做实验的措施,并且在逐位点名时逐个检查实验预习。实验教学改革也在一定程度上调动了学生的积极性。

3结语

用VHDL语言设计组合逻辑电路和时序逻辑电路的方法与传统的用逻辑代数和逻辑图设计的方法有很大不同,特别是行为描述的方法很容易掌握。用软件工具对所做设计进行仿真以检验设计的正确性比在面包板上插接、连线、调试要方便容易,避免了接触不良造成的故障和连线错误损坏器件等问题,实验成功率高、消耗低。学生不仅要做逻辑设计,还要做仿真输入波形设计。仿真输出波形直观地表现了逻辑功能的正确与否。部分学生自己有计算机,可以提前做出设计并编程,在进入实验室后能够在比较短的时间里完成实验;也有一些学生由于设计错误,在实验室没有完成实验,是回去以后继续用自己的计算机改正程序、完成仿真的。

从实际教学效果看,上述实验课题的设计是成功的。大部分学生通过设计和实验都有不同程度的提高,基本上理解了有关的知识点,掌握了VHDL程序设计、调试和仿真方法。从后来的调查问卷的结果看,大部分学生认为数字逻辑实验“不是很难”(4个选项分别是太难、不是很难、很简单、不能理解),只有少数学生不喜欢这种设计性实验。

数字逻辑课程的实验教学改革探索取得了初步成功,今后还将继续改进,也希望与其他学校的教师交流教学改革的经验和教训,共同提高。

参考文献:

[1] 黎忠文,向兆山.“做中学”模式在计算机教学中的探索[J]. 计算机教育,2006(10):30-32.

Exploration of Experiment Teaching of Digital Logic

SHENG Jian-lun

(School of Computer, Qingdao Technological University, Qingdao 266033, China)

第4篇:逻辑电路设计方法范文

关键词:数字电子技术;时序电路;串行序列;仿真;EDA

中图分类号:G642.4 文献标志码:A 文章编号:1674-9324(2013)06-0131-02

串行序列检测在通信领域应用广泛,因此,教材中对这种电路的设计进行介绍是有必要的。但是目前大多数的数字电子技术教材介绍的串行序列检测电路都存在一定的问题,作者在2003年全国高校电子经验交流会上就指出了问题并提出了多种修订方案[1],该文也引起了一些老师对该问题的注意[2]。但当时论文中给出的修订方案与时序逻辑电路状态图描述不一致。同时,作者最近在图书馆查阅了最新出版的数字电子技术教材,其中的串行序列检测电路设计仍然是采用以往教材中的设计方法,都没有进行功能验证,问题依然存在。因此本文有必要进一步讨论这一问题。另外,串行序列检测电路设计作为数字电子技术的一个经典实例,欠缺一定的基础知识,比如串行通信的概念、异步串行通信帧格式概念、串行通信的检测和同步问题等。作者在教学中,首先让学生查找资料熟悉上述基本概念,然后设计串行序列检测电路,掌握上述基本概念后,个别同学自己就会发现以往教材中设计存在的问题。这种教学方式执行多年,效果很好。

一、传统串行序列检测电路仿真

大多数数字电子技术教材都是设计了110或111的串行序列检测电路,多数教材中得到的111序列检测电路(要求检测到连续的3个1时输出Z=1)如图1(a)所示,利用MaxplusⅡ仿真的结果如图1(b)所示。图1(b)中箭头表示在CP的上沿检测串行输入X,检测到第一个有效的1时进入01,检测到第二个有效的1时进入11状态,此时输出Z在检测到连续两个1时输出变量Z就1,显然与设计命题要求不符。其他序列的检测也有类似情况,即不是在有效的检测时刻输出1。

二、改进的串行序列电路设计方法

参考文献[3]中提出了这一问题的解决方案,分别给出了Mealy型和Moore型状态图,这样可以得到正确的设计电路。但这种方法的状态图与传统时序逻辑电路状态图不一致。传统状态图是反映时序逻辑电路状态转换规律及相应输入、输出取值关系的一种图形,在状态转换图中以圆圈及圈内的字母或数字表示电路的各个状态,以箭头表示状态转换的方向,相应输入/输出标注在转换箭头上,图2给出了传统的两状态变量的部分状态图。本文根据串行序列检测的特点,即输出是由检测状态S确定的,当检测到有效序列,无论下一个串行输入X为0还是为1,都输出1。则可以将状态图表示为如图3所示的传统形式,进行可重叠序列检测,图4是医电93班吴鹏同学按照改进方法设计的111序列检测电路及仿真结果,由图4(b)可见,只要检测到有效数据串就输出1,结论完全正确。

三、实例安排顺序和教学方式的改变

这一实例所有教材都是安排在基于触发器的时序电路设计部分,因此限制了学生的思路。最近几个学期在时序逻辑电路分析、设计、寄存器等所有知识介绍完之后,让学生开始查串行通信资料、做序列检测电路设计、仿真验证电路功能,并做PPT在课堂上介绍。多数学生对串行通信概念、帧格式、波特率、帧同步等问题都介绍的比较清楚,个别同学对序列检测电路还设计了几种方案,其中包括了参考文献[1]中提到的用移位寄存器、输出与检测时刻同步等方法,拓展了学生的思路,部分学生对设计的电路进行了仿真和分析。这种方式激发了学生学习数字电子技术的热情,对数字电子技术设计产生了浓厚的兴趣。因此,建议各教材在补充相关基础知识的同时,将这一实例放在时序逻辑电路一章的最后,由学生根据自己所学知识进行设计。

通过以上分析可见,即使再多教材使用了再久的实例,也需要进行实践检验;建议教材中基于触发器的时序电路设计步骤中,应该增加“电路功能验证”一步,如果有这一步,就可以避免之前教材所设计电路存在的问题。

参考文献:

[1]宁改娣,杨栓科.串行序列检测同步时序电路设计探讨[C].全国高校电子经验交流会论文集,2003.

[2]陈文楷等.讨论式教学方法如何引入课堂[C].全国高等学校电子技术教学研究会年会,2005.

[3]张克农,宁改娣.数字电子技术基础(第2版)[M].北京:高等教育出版社,2010.

第5篇:逻辑电路设计方法范文

我们教学的问题不在于教学生各种学问,而在于培养他们有爱好学问的兴趣,而且在这种兴趣充分增长起来的时候,教他们研究学问的方法。带着这种研究我进行了教学的课程改革,以教学“组合逻辑电路的设计”为例。

组合逻辑电路在“数字电子技术”教材中的中间位置,起着承前启后的作用,通过本节学习,让学生明确组合逻辑电路设计的思路与方法,能够设计出经济实用的逻辑电路,体会到所学知识在身边的运用,任务训练的模式引起了学生的好奇心和参与兴趣。

开篇以创设情境入手,我们熟知的“中国最强音”评判方式。四个评委半数通过,那就是至少有三人YES,选手才能通过。播放一段“中国达人秀”视频,让学生通过其中“他们的选择决定达人的命运”这句话理解三位评委的作用。我们如何来设计一个电路能够体现评委判定选手是否过关呢?这就是我们今天的第一个任务,设计“三人表决器”,也是我们这节课要讲的逻辑电路的设计,一下引起学生的好奇,带着好奇和兴趣开始我们的组合逻辑电路的设计。

首先讲授组合逻辑电路的设计步骤,根据步骤分析实际项目要求,引导学生明确解决问题的方案,在此过程中教师起引导、分析、提醒、询问的作用,指导学生找到问题的解决方案。学生设计的电路出现了两种结果,哪种逻辑电路更好呢?学生又开始分组讨论、对比分析两种设计方案,发现虽然都是四个门电路,第一种方案是三个“与门”一个“或门”,第二种方案是四个“与非门”,更简单一些,而且还可以用一个集成块来完成,使电路更简单,最终确定使用第二种方案。层层设疑,层层分析过程中激发了学生兴趣,兴趣带动了学生动力,随后增加难度布置下一个任务训练。由学生自己设计了三个输入变量,两个输出变量根据水位的高低决定由哪个水泵注水的控制电路的设计。难度虽然提高了,但学生的思路开阔了,逻辑思维能力增强了,通过认真分析问题,最终得出正确的真值表,设计出最简单的电路。老师的引导成功地激起了学生的设计兴趣,小组激烈讨论,快速得出设计方案,相互探讨谁的设计更合理,突破了本节课的难点。

本节课采用传统教学与多媒体课件和实训相结合的教学模式,为了活跃和激发小组之间的比拼与斗志,最先完成任务的将结果写到黑板上,大家做评委判定是否通过。任务训练中做到了以学生为主体,教师为主导的“教学互动”,不断激发学生求知欲和学习热情,让学生在教学过程中体验成功,达到了自我肯定的目的。

第6篇:逻辑电路设计方法范文

关键词:数字电路;教学改革;能力培养

中图分类号:G431文献标识码:A

数字电路与系统这门课是理工科大部分专业的重要专业基础课,培养学生数字逻辑的基本概念和数字系统的设计能力。我校作为一所民办本科院校,以培养应用型人才为目标,数字电路与系统课程也不例外。我们在多年教学过程中总结了一套适用于该层次学生的教学思路和方法,并启动了数字电路与系统课程教学改革项目,对取得的研究成果进行总结,主要分为以下几个方面。

1.课程教学内容研究

1.1 弱化陈旧过时的内容

随着数字集成电路及大规模可编程逻辑器件的发展,中小规模电路应用已经逐渐减少,而传统的授课思路过多注重中小规模的应用。且在大规模可编程逻辑电路设计过程中,逻辑化简已经有开发工具取代,很少需要手工化简。故而数字电路与系统课程在教学内容上要做相应调整。有些内容课程教学内容化简只介绍化简的原理和方法,不讲化简技巧,考试也不做深入要求。

1.2 删减原理性内容的讲解,注重应用技巧和分析思路

为了配合应用型人才培养目标,在授课过程中适当减少原理性讲解,比如边沿JK触发器工作过程分析教材上一般有详细的分析过程,但授课中只要分析一两种工作情况,并借此介绍分析思路,有兴趣的同学可以课下自己分析,而大部分同学只要弄懂使用方法就可以了。时序逻辑电路应作为重点内容,讲授时应注重时序逻辑电路的分析过程,使学生深入理解相关概念,对于状态化简等要求要降低,只掌握方法即可。

1.3 教学内容在顺序上的调整

此外,考虑到数字电路是嵌入式系统、FPGA、DSP及IC设计等课程的先修课程,为了方便这些后续课程的安排,所以把数电课程提前,跟模电统一学期开设,为后续课程提供足够的时间。这就造成数电中逻辑门电路一章的内容受到影响,因此在授课时把这一章的内容往后移,等模电三极管基本放大电路学完之后再讲授这部分内容,可以解决数电和模电安排在同一学期的问题。

2.课程教材建设

由于数电教学内容作了一定的调整,因此教材的选取要能适应这种调整。而现有教材大部分是按照老的教学体系编写的,内容难免陈旧、过时,为了适应新形势的需要,我们根据应用型人才培养的要求,分别编写了本科和专科适用的教材。

本科的教材编写思路是采用弱化传统的逻辑代数公式化简和器件内部结构原理等内容,对中小规模集成电路重点介绍其使用方法和数字逻辑的基本概念,使学生建立起数字逻辑的研究方法和设计思想,同时在传统内容之上增加了大规模可编程逻辑器件和硬件描述语言方面的内容,传达了自顶至底的数字系统设计方法和理念,为运用大规模可编程逻辑电路设计数字系统打下良好基础。

专科的教材则更加弱化原理讲解,对于集成逻辑门和触发器等着重介绍器件功能和使用方法,原理只做最基本的讲解。除此之外,每一章都增加电路设计和调试及错误排查方法等内容,对学生进行电路设计的技能训练,再结合实验和综合课程设计,使学生动手能力得到提高。目前本科教材已经在我校使用了三届,专科教材也使用了一届,使用效果良好。

3.课程教学方法和手段

课堂教学作为重要的教学环节,采用合适的方法和手段至关重要。在数字电路教学过程中,重点做到以下几方面:

3.1 注重学生主观能动性的发挥

学生在课堂学习过程中如果只是被动接受知识,会导致填鸭式教学,必然会导致学生学习兴趣下降,学习效果大打折扣。因此在课堂上要注意引导学生主动思考,对学生的预习情况进行干预,以预留作业的形式让学生预习必要的知识,然后再课堂上再就预留的问题请学生分组讨论,每组阐述讨论的结果。虽然开始的时候学生做的不是很好,但是只要坚持这种做法,讨论时加强引导,就会收到良好的效果。

3.2 注重习题课和平时测验

笔者在教学中发现学生对所学知识不会灵活运用,体现在作业中就是对没接触过的类型题目不知道该怎么做,自己没有思路。其实这些题目如果对知识点理解的话是可以独立完成的,不会做说明知识点理解的不好,所以不会应用。这种情况下就要有针对性的设置习题课,讲一些典型的例题,并着重题目的解决思路和方法的培养,使学生遇到类似的问题能够灵活运用。然后再通过一些课堂测验了解学生的学习情况,及时对测验结果进行总结,并反映在后续的教学中。

3.3 注重实验教学

数字电路应该是一门理论和实验相结合的课程,两者相辅相成。因此在理论课之外设置了两种形式的动手环节:一种是跟理论课紧密配合的电子技术实验,实验的设置以理论教学进度为依据,让学生能够在刚学完某一知识点时就能通过实验进行验证,通过实验理解理论知识和培养基本的分析和测试实验结果的能力;另外一种是在学期末的电子技术综合课程设计,给学生布置合适的题目,让学生从方案设计、芯片选择、电路布局、焊接查错等方面得到训练,同时撰写课程设计报告,切实培养学生分析和解决问题的能力,写总结文档的能力,提高学生的综合素质。

4.结束语

随着科学技术的不断发展,数字电路教学也必然面临着教学内容和模式的不断改革,以适应新形势的需要。因此授课教师应不断充实自己,不断总结和积累经验,抓住教学重点,使学生切实学懂这门课,掌握数字逻辑设计的重要概念和基本方法,为后续课程和今后走上工作岗位打好基础。笔者在教学中所采用的内容改革和教学方法创新通过多轮教学实践证明,是切实可行的,能够很好的反应当前数电教学的新形势,教学效果良好。

参考文献

[1]杨志忠,卫桦林等.数字电子技术基础(第二版)[M].北京:高等教育出版社,2009,7

[2]杨志忠,赵航等.数字电子技术及应用[M].北京:高等教育出版社,2012,1

第7篇:逻辑电路设计方法范文

关键词:逻辑电路 约束项 任意项 逻辑函数

逻辑电路的关键是建立数学模型(即将逻辑问题转化成逻辑表达方式)。数学模型越简单,所设计的逻辑电路就越简单。逻辑电路设计的步骤是:将逻辑问题转化成表达式,使用卡诺图或公式化简表达式,由化简的表达式画逻辑电路图。由于一个逻辑函数的表达式不是唯一的,这就决定了相同功能的逻辑电路也不是唯一的。逻辑函数的表达方式越简单,我们得到的逻辑电路也就越简单。正确地识别、使用约束项和任意项是我们得到最简逻辑函数表达式的重要手段。

一、约束项和任意项的概念

实践中不会出现的,或者是实践中可能出现但不允许出现的取值组合所对应的最小项称之为约束项;有一些逻辑函数在一些输入变量的组合情况下取值是任意的,我们称它们所对应的最小项为任意项(约束项和任意项在真值表或卡诺图中用φ来表示)。

例:试用三个主从JK触发器设计一个能自启动的异步六进制减法计数器。

解:(1)根据要求选择D2、D1、D0三个主从JK触发器。设D2、D1、D0三个主从JK触发器的状态输出端分别为Q2、Q1、Q0,非状态输出端分别为2、1、0,D2、D1、D0三个触发器的时钟脉冲分别选择为CP2=0、CP1=Q0、CP1=CP(计数脉冲)。

(2)由逻辑问题:六进制减法计数器可得状态转化表,即表1。

设三个触发器的初态分别用Q2、Q1、Q0表示,次态分别用Q2n+1、Q1n+1、Q0n+1表示。

三个变量有八种组合,表1中没有出现的两种状态组合110、111是六进制计数所不允许出现的,笔者把它们当作约束项来处理。表1中101、011、001三种状态的组合,由于CP2=0、CP1=Q0,触发器D2、D1的时钟脉冲Q0的下降沿还没有来,触发器的次态应等于初态,保持不变。若把次态当作相反的状态,实际上也不会翻过来改变结果。因为没有下降沿怎么会翻转呢?因此笔者把101、011、001三种状态组合所对应的Q2n+1、Q1n+1的取值看作是任意的,可使它们的取值为“0”或“1”即任意项。(在真值表和卡诺图中用Φ表示)于是就有了表2(Φ表示它所对应的变量取值组合为约束项和任意项)。

由表2列出的过程可知,约束项和任意项都可以使函数值取“0”或“1”,取“0”或“1”都不会影响逻辑函数的功能。

二、利用约束项和任意项化简逻辑函数

由于约束项和任意项可取“0”或“1”,所以利用卡诺图化简逻辑函数时,对于约束项和任意项来说既可以把它当作“1”,也可以把它当作“0”来处理,还可以把它们中的一部分当作“0”,一部分当作“1”来处理。

例:将表2中的最小项填进卡诺图,并利用卡诺图得到化简后的逻辑函数表达式,与主从JK触发器的特性方程比较,求出六进制计数器的驱动方程。

方法一:将所有的任意项和约束项都看作“0”

化简结果:Q2n+1=210 Q1n+1=Q210

Q0n+1=21+10=210与驱动方程Q2n+1=J22+K22 Q1n+1=J11+K11 Q0n+1=J00+K00

可得:

方法二:将表2中的任意项,约束项一部分看作“1”,一部分看作“0”卡诺图如下:

圈内的约束项或任意项看作为“1”,圈外的看作为“0”。

化简结果:

Q2n+1=21 Q1n+1=Q2=21+Q1Q2 Q0n+1=0

同样与特性方程比较可得驱动方程:

方法三:由逻辑问题列真值表时只考虑到约束项而没有考虑到任意项,由表1填卡诺图。

利用卡诺图化简得状态方程:

Q2n+1=210+Q2Q0 Q1n+1=2100+Q1Q0 Q0n+1=0

与主从JK触发器的特性方程比较得驱动方程:

方法四:将一部分约束项和任意项看作“0”,另一部分看作是“1”,如卡诺图所示,圈内的为“1”,圈外的为“0”:

化简结果:Q2n+1=21 Q1n+1=Q21 Q0n+1=0,与特性方程比较得驱动方程为:

第8篇:逻辑电路设计方法范文

1. 理论教学

1.1 优化教学内容

《数字电子技术》课程内容包括逻辑代数、门电路、组合逻辑电路、触发器、时序逻辑电路、半导体存储器、可编程逻辑器件、脉冲波形的产生和整形、数-模和模-数转换电路等。随着近年来数字电子技术飞速发展,知识更新周期缩短,数字器件不断更新换代,使得该课程授课内容多课时少的矛盾更显突出,因此,有必要对该课程的教学内容进行优化,我们选用的教材是由清华大学余孟尝教授主编,高等教育出版社的《数字电子技术基础简明教程(第三版)》。在保证《数字电子技术》基本知识、基本分析方法和设计方法的前提下,对教学内容进行优化:内容选取上力求少而精,对于学生学过的知识(如:数的表示方法、二极管、三极管、场效应管的结构等)以及无法在较少的学时里充分阐述的知识(如:脉冲产生与整形电路、数模与模数转换电路等)做了较大的删减,并压缩集成器件的内部结构部分的内容,重点放在集成器件的外特性及其应用。组合逻辑电路、时序逻辑电路的分析、设计是本课程的重点,特别是时序逻辑电路的分析、设计是本课程的核心内容,因此这部分安排了较多学时。同时根据学科专业特点增加了电子设计自动化EDA。

1.2 采用现代化教学手段

《数字电子技术》课程中有大量用图形、表格表述的知识,如电路图、波形图、时序图、真值表、状态转换图等,如果仅借助板书手绘图表,不仅费时、费力,效果却一般。而且,数字逻辑电路的知识和前面所学的电路分析、模拟电子技术的知识密切相关,利用黑板不方便引用、回顾前面所学知识。

多媒体技术能够将大量的集成电路、图形、波形、真值表等预先存放在计算机中,上课时教师可以方便、快捷地调用,减少了很多耗时的板书和画图,节省了大量的时间,从而提高教学效率。《数字电路》中组合逻辑电路及时序逻辑电路的分析及设计过程和其他一些章节都存在大量逻辑图、状态转换图、时序图及动画等,用多媒体教学无疑能很好地将这些章节的内容讲解得更具体、清晰、生动。

2 实验教学

2.1完善教学内容

实验教学担负着巩固和加深对所学理论知识的理解、培养学生用理论知识分析解决实际问题的能力的任务。考虑到普遍存在的大学生动手能力弱的问题,实验内容中安排了基础性验证性实验;此外,为了适应数字电路的发展和学生就业的需要,在实验中还引入EDA的内容。

首先,开设基础性验证性实验,锻炼学生的基本技能。通过开设3~4个基础性验证性实验,使学生熟悉实验仪器设备如实验箱、面包板等的使用,掌握基本门电路逻辑功能的测试方法。

其次,开设综合设计性实验,目标是培养学生运用理论知识分析问题和解决问题的能力。实验室根据该课程的应用要求及学生学习兴趣,安排了数字钟、抢答器、裁判电路、交通灯控制电路设计等,并利用计算机对设计电路进行仿真调试。

通过这样设计的实验内容,使学生的学习由浅入深,由易到难,循序渐进,学生将理论知识与实践相结合,加深了对所学知识的理解,提高了学习积极性。很好地培养了他们的主动性及动手能力。

2.2改革考核方式

第9篇:逻辑电路设计方法范文

EDA技术是指以计算机为工作平台,以实验开发系统为设计工具,融合应用电子技术、计算机技术、智能化技术最新成果,自动完成用软件的方式设计的电子系统到硬件系统的逻辑编译、分割、综合及优化、布局布线、仿真,直至完成对于特定目标芯片的适配编译、逻辑映射、编程下载等工作,最终形成集成电子系统或ASIC的一门新技术,也就是我们常说的电子设计自动化(Electronic Design Automation)技术。运用于教学的常用EDA工具主要有:EWB、PSPICE、protel99、Max+Plus及QuartusII等等。以下从EDA工具软件MAX+PlusⅡ的介绍和MAX+PlusⅡ在数字电路课程的应用两方面,探讨EDA技术与数字电路教学的整合。

一、MAX+plusⅡ简介

MAX+PlusⅡ是美国Altera公司为开发可编程逻辑器件而推出的工具软件,可以完成从门级到系统级的逻辑芯片的设计,是典型的逻辑设计与仿真工具。同时,它也是学习硬件描述语言和逻辑综合的良好工具。

MAX+PlusⅡ可编程逻辑开发软件提供一种与结构无关的设计环境,它提供全面的逻辑设计能力,设计者无须精通器件内部的复杂结构,只需运用自己熟悉的输入工具(原理图、硬件描述语言)进行设计,可以将文本、图形、波形等设计方法任意组合,建立起有层次的数字系统,MAX+PlusⅡ把这些设计转换成最终结构所需要的格式。

数字电路教学环节中的实验教学,为加深学生对理论的深入理解、拓宽视野、培养学生的实践能力和动手能力起到了十分重要的作用。受教育成本和资金的限制,很多电子实验室并不具备相应的设备和器件,这无疑对学生潜能的发挥和创新能力的培养带来很大的影响。在数字电子技术课程教学中引入MAX+plusⅡ可以有效地解决当前存在的问题。

二、MAX+plusⅡ在数字电路课程中的应用方式

1.开展课堂演示实验,提高教学效率。由于数字电子技术所涉及的内容比较抽象,在教学中教师最好能借助一定的方法来帮助学生学习和理解,传统的教学方法或手段限于时间、设备等问题,教师很难在课堂上进行现场演示实验,即使可以做现场演示实验,结果也很难看清,加上实验所用器件基本上是中小规模的集成器件,学生无法看到器件的内部结构和实验的数据流程,实验效果并不理想。

将MAX+plusⅡ提供的元器件设计库、图形输入功能、波形输入功能和模拟仿真功能应用到课堂演示教学中可以很好地解决传统教学方法或手段存在的问题。在课堂中教师可以通过简单的单击、双击和拖拽、查找等操作在MAX+plusⅡ中方便地设计或构造教学中需要的逻辑电路图,可以即时地进行逻辑功能的验证,模拟各种实验过程,这样不但使得理论分析与实际电路有了紧密的联系和对照,提高了数字电子技术课程的趣味性,学生还可以切身体会到电路的设计过程和实验的数据流程,对数字逻辑电路有更直观的感性认识。

2.开展探究学习,提高教学效果。数字电子技术课程是一门实践性很强的课程,理论学习必须紧密地与实践结合起来。以往,实践环节主要是上实验课,实验内容多为验证性实验,设计性、综合性实验较少。就验证性实验而言,一般是使用实验箱,按照实验指导书一步一步操作,学生往往是动手不动脑,收效不大。而设计性、综合性实验对于学生来说有一定的难度,设计出的电路错误较多,而且由于实验室的开放与管理跟不上要求,往往规定学生在实验室有限的开放时间内完成任务,因而实验成功率较低。

MAX+PlusII因其自身所具有的特点可以提供虚拟的实验环境,克服实验室的实验条件限制和新技术、新器件的匮乏,为学生虚拟一个具备各种测试仪器和元器件品种齐全的逻辑电路实验平台。学生可提出各种设计方案,实验时可以随时改变电路参数,研究电路性能指标与参数之间的关系,并能很快获得仿真结果,及时发现问题加以解决,从而大大提高了学生分析问题、解决问题的能力,激发他们的求知欲和创新意识。以下是我们尝试的基于MAX+PlusII的数字电子技术课堂教学模式:

①教师组织进行相关的技能训练,学习使用MAX+PlusII的功能;②用问题激发学生的兴趣,学生通过交流讨论,确定问题(比如数字时钟的电路设计);③探究活动主要由小组合作完成,教师对学生的活动任务进行适当的多角度、多方位的引导能够促进学生深入探究,对存有问题的学生进行及时的帮助,学生的活动主要包括电路设计、仿真分析、修改完善;④学生进行成果展示,并组织自评互评,增强学生的成功意识,同时教师对学习活动过程和结果进行恰当的评价及总结,以引导学生梳理、归纳、概括在活动中所学的知识,优化学生认知结构;⑤针对不同层次的学生,教师提供不同应用创造的情境,将所学知识和技能进行迁移和提高。

3.开展综合设计型实验,提高创新精神。数字逻辑综合设计是数字逻辑课程中最重要的实践性环节,它要求学生综合运用所学的专业知识,通过对一个较小的完整的数字系统或电子产品进行设计与开发,以训练和培养学生的综合设计与开发研究能力,最适合学生在毕业设计中使用。

借助MAX+PlusII软件进行数字逻辑综合设计,突出了以学生为中心,以学生为主体的开放式教学模式。由于MAX+PlusII在方案的仿真分析和修改上的显著优点,激发学生大胆想象并尝试各种不同设计方案、采用不同的集成器件,尤其可以充分利用MAX+PlusII对各种硬件语言的支持,学生可以在MAX+Plus II中轻松地设计出自己需要的原器件并对其进行仿真与验证,使学生设计作品的质量和难度系数都得到了提高,学生的独立自主思维、独自解问题的能力、研究开发能力都得到充分的培养与提高。

使用MAX+plusⅡ软件进行综和数字系统设计主要有5个阶段:确定选题、设计输入、编译、仿真和下载。