公务员期刊网 精选范文 集成电路反向设计范文

集成电路反向设计精选(九篇)

前言:一篇好文章的诞生,需要你不断地搜集资料、整理思路,本站小编为你收集了丰富的集成电路反向设计主题范文,仅供参考,欢迎阅读并收藏。

集成电路反向设计

第1篇:集成电路反向设计范文

当今世界,计算机的发展已成为领导工业现代化进程的潮头军,自1946年世界第一台电子计算机诞生以来,短短的五十多年间,计算机作为一种现代化的高级工具以惊人的速度迅速地渗透到了社会生活的各个领域,引起了全球的技术革命。计算机技术的飞速发展离不开另一门产业的发展,即集成电路产业。因为集成电路的出现才使计算机摆脱了电子管、晶体管等原材料构件的束缚,逐步走向小型化,轻型化,高智能化,迅速走向了社会,走入了家庭。

集成电路产业的飞速发展,产生了许多新的法律问题,由于传统知识产权法的局限性以及集成电路及其布图设计本身存在着的特殊性,集成电路布图设计的法律保护问题也引起了法学界的极大关注。各国也纷纷就集成电路布图设计进行立法,以保护此种特殊性质的知识产权不受侵害。

我国早在1991年国务院就已将《半导体集成电路布图设计保护条例》列入了立法计划,经过10年的酝酿,我国的《集成电路布图设计保护条例》终于于2001年3月28日由国务院第36次会议通过,并于2001年10月1日起施行。这是目前我国保护集成电路布图设计知识产权的一部重要法规。虽然它是一部行政法规,但经过试行一段时间到条件成熟后,将之上升为法律的形式是必然的趋势。我国采用专门立法的形式保护集成电路布图设计既尊重了国际知识产权保护的原则,又便于与国际法律接轨,而且这部条例既保护了集成电路布图设计专有权人的权益,又考虑到了国家和公众的利益,使技术进步不受到人为的限制。这一条例初步建立了我国集成电路布图设计的知识产权保护的理论体系,进一步完善了我国的知识产权法律制度。

一、集成电路和布图设计的概念与特点

集成电路是指半导体集成电路,即以半导体材料为基片,将至少有一个是有源元件的两个以上元件和部分或者全部互连线路集成在基片之中或基片之上,以执行某种电子功能的中间产品或者最终产品。一块集成电路通过控制电流在其电路中的流动来实现其功效。在计算机发展的初期,每个电路元件(如晶体管、电阻、电容等)都是用引线同电路中的其它元件相连接的。这种做法须耗费大量的劳动力与工时,且计算机制作成本很高,大量连线的存在使电流的流动距离增长,不仅影响了计算机工作的速度和可靠性,还引起电路功耗的增加,从而带来电路的散热以及要求有较高电压的电源等一系列的问题。这也正是最初计算机体积庞大、耗电量大、速度慢的根本原因。采用集成电路以后,这些问题就得到了解决:由于电路元件及连线实质上已成为一体,作为一块电路板上的不同元件,它们之间的电流交换速度大大增强,且电路的功耗亦大幅度降低,不仅提高了计算机的性能,还大大降低了计算机的成本。由于生产集成电路的主要原材料硅、铝、水等一些化合物并不昂贵,但经过加工以后得到的集成电路产品的价值往往可以达到其材料价值的几十倍,几百倍甚至上千倍。在其价值成本中,大部分都是知识、技术与信息所增加的附加价值。这种附加价值主要集中在以集成电路为载体而体现出来的人类智慧的结晶-布图设计的价值上。就象相同的磁带因为录制不同的歌曲其价值就会不同一样,用相同的技术工艺在同样的芯片上依不同的布图设计所制作出的集成电路,其价值也是不同的。好的布图设计制作出的芯片往往能具备更高的性能和工作速度。因此,集成电路的法律保护问题,归根结底在于对其布图设计的保护。

对布图设计,世界各国的称呼各有不同:美国称之为掩膜作品,(Mask Work),日本称之为电路布局(Circuit Layout),欧洲国家采用的是另一个英文单词Topography(拓朴图),而世界知识产权组织(WIPO)于1987年2月通过的《关于集成电路知识产权保护条约》(简称《WIPO条约》或《华盛顿条约》)中则采用了Layout-design(布图设计)一词。这些词语字面上的表示虽各不相同,但其真正的含义都是相同的,即指集成电路中各种元件的三维配置。许多人认为布图设计只是一种设计图,就象建筑工程设计图一样。事实上布图设计与建筑工程设计图这种一般的二维设计图是不同的,它是一种有许多不同层面的三维设计,每一层面上又有许多复杂的电路布图装置图,而且最重要的是,真正可以用于实践的布图设计是经过了特殊的工艺按实物尺寸复制在玻璃板上,可以直接加工在芯片上的模本,即掩膜版。现在世界上虽已有一些更先进的模本技术,但是最终布图设计还是必须做成与集成电路产品实物一般大小的模本,才可算是完成了布图设计的制作。在生产过程中,这些模本是直接被“做”到产品中去成为产品的一部分,而不是象建筑设计图那样本身与实际的建造结果之间并无联系。一个小小的掩膜作品中所包含的电路设计图往往可以是几十张上千张甚至上万张。设计一组布图设计,需要付出巨大的创造性劳动,它代表着芯片开发中的主要投资,可占其成本的50%以上。布图设计作为人类智力劳动的成果,具有知识产权客体的许多共性特征,应当成为知识产权法保护的对象,其特点主要表现在:

(一)无形性。

布图设计作为一种元件的“三维配置”,这种配置方式本身是无形的、抽象的,是人类智慧的体现,但它可以通过有形的载体表现出来而为人所感知。当它被制作成芯片时,表现为一定的构形;当它被制成掩膜版时,表现为一定的图形;当它被输入计算机时,则以一定的数据代码的方式存储在磁盘之中。

(二)可复制性。

布图设计具有可复制性,但其可复制性与一般著作权客体的可复制性不同。当布图设计的载体为掩膜版时,它以图形方式存在,这时只要对全套掩膜版加以翻拍,即可复制出全部的布图设计。当布图设计以磁盘为载体时,同样可用通常的拷贝方法复制。当布图设计的载体为集成电路芯片时,它同样可以被复制,只是复制过程相对要复杂一些。复制者要先把芯片的塑料或陶瓷外壳打开,利用一台高分辨率的照相机,把顶上的金属联接层照下来,再用酸把这层金属腐蚀掉,对下面那层半导体材料照相,获得该层的掩膜作品。照完后利用相同的方法再照下一层,如此一步一步做下去,就可以得到这一芯片的全套掩膜,依靠这套掩膜就可以模仿生产该芯片。这种从集成电路成品着手,利用特殊技术手段了解其布图设计的方法被称为“反向工程”方法。这种方法虽需一定的技术要求,但是比起原开发者漫长艰辛的开发过程,其所花费的时间和精力都只是后者的若干分之一。

(三)表现形式的非任意性。

布图设计是与集成电路的功能相对应的。布图设计的表现形式要受到电路参数、实物产品尺寸、工艺技术水平、半导体材料结构和杂质分布等技术因素和物理规律的限制,因此开发新的功能相同或相似的集成电路,其布图设计不得不遵循共同的技术原则和设计原则,有时还要采用相同的线宽,甚至采用相同的电路单元。这就造成了对布图设计侵权认定难度的加大,有关这一点,笔者将在后文论述。

由以上特点可以看出,布图设计的无形性是知识产权客体的共性,可复制性是著作权客体的一个必要特征,表现形式的非任意性则是工业产权客体的特性,因此,布图设计成为了一种兼有著作权和工业产权客体双重属性的特殊知识产权客体,很难在传统的知识产权法律保护体系中得到完善的保护。因此要想求取良好适当的法律保护模式,就必须突破传统的界限。针对布图设计自身的特征,制定出专门的单行法律加以保护,这是世界上大多数国家的共识。我国也正是采用了此种立法方式。

二、我国集成电路布图设计知识产权保护的理论体系

我国集成电路布图设计的知识产权保护体系是在传统知识产权法理论的基础上,借鉴国外的一些理论和实践建立起来的。这一理论体系的核心概念即布图设计专有权。

(一)布图设计专有权的概念和要素

1、概念

布图设计专有权就是布图设计的创作人或者其他权利人对布图设计所享有的权利,具体来说,就是指国家依据有关集成电路的法律规定,对于符合一定手续和条件的布图设计,授予其创作人或其他人在一定期间内对布图设计进行复制和商业利用的权利。布图设计专有权作为一种独立的知识产权,既不属于专利权,也不属于著作权。而且,布图设计专有权是以布图设计为权利客体的,权利人对与布图设计有关的集成电路或其中所含的信息并不享有权利。

2、要素

布图设计专有权的要素包括三个,即布图设计专有权的主体、客体和内容。

(1)布图设计专有权的主体。

布图设计专有权的主体,即布图设计权利人,是指依照集成电路布图设计保护法的规定,对布图设计享有专有权的自然人、法人或其他组织。根据我国《集成电路布图设计保护条例》的规定,能够享有布图设计专有权的人主要有以下几类:

①布图设计创作者或合作创作者

布图设计的创作者或合作创作者即以自己的智力劳动单独或共同完成布图设计的人。由于布图设计的各个部分是密不可分的,具有整体性,缺少任何一部分布图设计都将无法完成预先希望达到的功能,因此,由多人共同创作完成的布图设计其权利只能作为一个整体由各创作人共同享有,即使各创作人所创作的部分能够与他人的部分相区分,他也不可能就这一部分设计单独享有权利。但是法律允许合作者就布图设计专有权的归属作出约定。

②主持创作布图设计的法人或组织

根据我国《集成电路布图设计保护条例》第9条第二款的规定:“由法人或者其他组织主持,依据法人或者其他组织的意志而创作,并由法人或者其他组织承担责任的布图设计,该法人或者其他组织是创作者。”由法人或组织主持创作的布图设计类似于版权法中的职务作品,其权利不由直接完成创作的人享有而由有关的单位享有。

③经约定可以享有权利的委托人

对于委托创作布图设计的情形,我国的规定是:“受委托创作的布图设计,其专有权的归属由委托人和受托人双方约定,未作约定或者约定不明的其专有权由受托人享有。”所以因受委托而完成的布图设计的专有权归属,首先依委托人与受托人的约定,双方未约定或约定不明的,由受托人也就是直接完成创作行为的人享有布图设计专有权。

④以上主体的权利继受人

布图设计权利人是自然人的,自然死亡之后,其专有权在法律规定的保护期内可依照继承法的规定转移。布图设计专有权属于法人或者其他组织的,法人或者其他组织变更、终止后,其专有权在法律规定的保护期内由承继其权利、义务的法人或者其他组织享有,没有承继其权利、义务的法人或者其他组织的,则布图设计进入公有领域。

另外,我国法律还规定外国人创作的布图设计首先在中国境内投入商业利用的,依照我国的法律可享有布图设计专有权。外国人创作的布图设计其他作者所属国同中国签订有关布图设计保护协议或与中国共同参加有关布图设计保护的国际条约的,也可依我国法享有布图设计专有权。

(2)布图设计专有权的客体。

《集成电路布图设计保护条例》中规定,布图设计专有权的客体是具有独创性的布图设计。这一规定与《WIPO条约》①中的规定是一致的,我国已是该条约的正式签字国。布图设计的独创性是指该布图设计是创作者自己的智力劳动成果,并且在其创作时该布图设计在布图设计创作者和集成电路制造者中不是公认的常规设计。但如由常规设计组成的布图设计,其组合作为整体符合前述条件的,也是受到保护的客体。这一规定是为保护集成电路进一步发展而作的特别规定。我国法对布图设计的保护,不延及思想、处理过程、操作方法或者数学概念等。具体来说,一项布图设计要取得专有权,必须具备以下的条件:

①实质要件:申请保护的布图设计必须具有原创性。

具有原创性包括两层含义,一是指该布图设计必须是创作人自己智力劳动的成果,而非简单复制他人的布图设计。二是指该布图设计应具备一定的先进性,即它在创作完成时不能是当时集成电路产业中常用的,显而易见的或为人所熟知的。

对原创性的规定,大多数国家都大致相同,《WIPO条约》中对此亦作出了详细的规定,我国作为集成电路技术较为落后的发展中国家,作出这样的规定有利于鼓励有关技术人员的积极性和主动性,以促进集成电路产业的发展。

②形式要件:即取得保护的布图设计在形式上必须具备的条件。

我国以登记作为布图设计取得权利保护的形式要件。

我国已规定了一套类似计算机软件版权登记的布图设计权登记制度。如果不进行登记,权利人将很难证明其布图设计在创作完成时是非显而易见的,因为布图设计的发展十分迅速,等到侵权纠纷出现时,举证已相当困难,建立一套登记制度即可在很大程度上解决这一难题。

(3)布图设计专有权的内容

布图设计专有权的内容即指布图设计专有权的具体权能。根据《集成电路布图设计保护条例》的规定,我国的布图设计专有权的权能主要包括:

①复制权,即权利人有权通过光学的、电子学的方式或其他方式来复制其受保护的布图设计或者含有该布图设计的集成电路。这种复制(reproduce)与版权法中的复制(copy)是不同的,它必须通过特殊的方法实现,实际上是一种重新制作。所以,我国《条例》中明确规定:“复制,是指重复制作布图设计或者含有该布图设计的集成电路的行为。”

②商业利用权,即布图设计权人享有的将受保护布图设计以及含有该受保护的布图设计的集成电路或含此种集成电路的产品进行商业利用的权利。各国立法对此权利内容的规定不完全相同,但一般都包括出售权、出租权、展览陈列权以及为商业目的或其他方式的利用而进口的权利等。我国法所规定的商业利用,是指为商业目的进口、销售或者以其他方式提供受保护的布图设计,含有该布图设计的集成电路或者含有该集成电路的物品的行为。

值得注意的是,从各国现有的集成电路法规定看,布图设计权均不包括任何精神权利,且布图设计权不影响权利人根据其他法律而对布图设计所享有的权利。

(二)布图设计专有权的权利限制

作为一种知识产权,和专利权及版权一样,布图设计权的行使也存在一定的限制。从各国立法的情况来看,对布图设计权利的限制主要有以下几种:

1.合理使用。这与版权中的合理使用相类似,主要包括为个人目的而复制或利用和为教学研究而复制或利用。

2.合理的反向工程。反向工程是现代集成电路工业发展的主要手段之一,但是反向工程也具有一定的特殊性,因为在复制他人布图设计时也可能会用到反向工程的技术,以科学研究为目的的反向工程是合法的,而单纯为获取他人布图设计而进行的反向工程则是非法的,这又涉及到一个侵权认定的问题。

3.权利穷竭。布图设计权人或经其受权的人将受保护的布图设计或含有该布图设计的集成电路产品投入市场以后,对与该布图设计或该集成电路产品有关的任何商业利用行为,不再享有权利。

4.善意买主。即基于善意,不知道有关半导体芯片产品的保护的存在而购买了该半导体芯片产品的人。这些人的行为是不能构成布图设计侵权的。

5.强制许可。即在一定条件下,一国政府可以不经布图设计权利人的同意强制作可他人或有关的组织使用其布图设计。这一做法主要由一些发展中国家采用,一些发达国家如美国对此持反对意见。《WIPO条约》对强制许可采取了肯定的态度,允许缔约各国根据自己实际情况在法律上规定强制许可制度。

根据我国《集成电路布图设计条例》第四章的规定,我国对布图设计专有权行使的限制主要体现在以下这几个方面:

(1)为个人目的或者单纯为评价、分析、研究、教学等目的而复制受保护的布图设计的。

(2)在依据前项评价、分析受保护的布图设计的基础上,创作出具有独创性的布图设计的。

(3)对自己独立创作的与他人相同的布图设计进行复制或者将其投入商业利用的。

(4)受保护的布图设计、含有该布图设计的集成电路或者含有该集成电路的物品,由布图设计权利人或者经其许可投放市场后,他人再次商业利用的。

(5)在国家出现紧急状态或者非常情况时,或者为了公共利益的目的,或者经人民法院、不正当竞争行为监督检查部门依法认定布图设计权利人有不正当竞争行为而需要给予补救时,国务院知识产权行政部门可以给予使用其布图设计的非自愿许可。但是取得非自愿许可的自然人,法人或其他组织应向布图设计权利人支付合理的报酬,其数额由双方协商;双方不能达成协议的,由国务院知识产权行政部门裁决。

由以上这些规定可以看出,我国基本上采用了与《WIPO条约》相似的规定,这有利于我国的集成电路布图设计与国际法律规定的接轨。

(三)布图设计侵权及其认定

所谓布图设计侵权,即指侵犯了布图设计权利人的权利,依法应承担法律责任的行为。它主要包括非法复制与非法进行商业利用两种。其中非法进行商业利用的行为比较容易认定,也易取证,但对非法复制的认定却存在一定的难度。非法复制主要有两种:

1.完全复制,即将原布图设计原封不动照搬下来。这种情况比较好认定,因为开发一种布图设计是一项艰巨复杂的脑力劳动,两个相互独立的开发人在互不接触的情况下独立开发的两种功能相同的布图设计,虽然在电路原理上有可能相似,但是表现在掩膜版上的具体元件布置、连线等布局完全一模一样的可能性几乎为零,因此在实践中如发现两种完全一样的布图设计,那么必定是后一布图设计人复制了前一设计人的布图设计,举证责任主要集中在开发时间先后上,如果规定有布图设计的登记制度,这就比较容易认定。

2.部分复制,即仿制,这是目前存在的布图设计侵权行为中占比重最大,而且也是最难认定的一种侵权行为。它之所以难以认定的主要原因是布图设计中有许多共同遵循的基本电路原理和技术原则,再加上新旧布图设计之间需有兼容性的要求,在功能相类似的布图设计中不可避免地会有一些相同或相似的地方。因此许多布图设计侵权人在获取他人布图设计作品以后,将一些无关紧要的元件位置作一定的改动,在电路设计连线上再作一些调整,就会使新的布局与原有的布局很不相同,尤其在制作成集成电路产品以后,肉眼无法观察,必须借助机器进行复杂的技术处理后才能认定,这就更增加了对此种侵权行为认定的难度。

笔者认为,在认定这种侵权行为时必须抓住两个关键:一是两种布图设计是否实质相似,二是另一布图设计创作人是否曾接触过受保护的布图设计。确定了这两点,侵权的判定即可成立。对是否实质相似的认定,主要可从两个方面着手:(1)从设计组成上看,首先在量上确定,两种布图设计相同的部分有多少,占全部布图设计的比重有多大,一般而言,相同的越多,是复制的可能性就越大,另外在质上可考察相同的部分在整个设计中所起的作用是否相同,如果起的都是核心的作用,那么就很容易构成实质相似。(2)从功能上看,两种布图设计的功能是否相同是二者是否实质相似的根本要件,如果两种功能完全不同的布图设计,即使其元件布局、线路布置绝大部分相同,也不可能构成实质相似。抓住了这两个关键,对于仿制的侵权认定就相对容易了。

总之,我国的《集成电路布图设计条例》已初步建立了我国的集成电路布图设计的知识产权保护理论体系,对布图设计侵权作了规定,并且规定了侵权人应当承担的法律责任。但我们仍需要在今后的布图设计权利保护实践中进一步改善我国的保护制度,使布图设计专有权的保护更全面更完善,从而促进我国集成电路产业的进一步发展。

[注   释]:

①即《关于集成电路的知识产权条约》(Treaty on the Intellectual Property in Respect of Integrated Circuits),是世界知识产权组织(WIPO)于1989年5月在华盛顿通过的一部国际条约,简称《WIPO条约》。现在已在这个条约上签字的国家有埃及、加纳、利比里亚、危地马拉、南斯拉夫、赞比亚、印度和中国等。

[参考书目]

1. 郑成思著《计算机软件与数据的法律保护》,法律出版社,1987版。

2. 郑成思著,《信息、新兴技术与知识产权》,中国人大出版社,1986版。

3. 英明初著,《计算机软件的版权保护》,北京大学出版社,1991年8月版。

4. 唐光良、董炳和、刘广三著,《计算机法》,中国社会科学出版社,1993年11月版。

5. 刘江彬著,《计算机法律概论》,北京大学出版社,1992年7月版。

6. 赵震江主编,《科技法学》,北京大学出版社,1991版。

第2篇:集成电路反向设计范文

人们观念中的山寨产品并不尊重知识产权,存在低价,质量低劣,只做到形似神不似,功能与正版差距很大的这些元素。事实上“山寨”一词并没有准确的定义,并经不起推敲。因此并不能将所有的反向工程后获得的模仿技术的行为归于此类。对外经贸大学国际经贸学院国际商务研究中心主任王健曾为“山寨”正名,他认为“山寨”产品只是一个噱头,仅仅是一种营销方式,仔细对比,很多被“山寨”的产品,与正版产品形似神不似,从软件硬件来看,均未侵犯知识产权,事实上很多“山寨”都不侵权。

反向工程的合法认定

反向工程的利用是不是构成侵权,浙江广诚律师事务所赵小雷律师就法理与实践的方面对此进行了分析。他认为,在自2007年2月1日起施行的《最高人民法院关于审理不正当竞争民事案件应用法律若干问题的解释》(以下简称《解释》)的第十二条,通过自行开发研制或者反向工程等方式获得的商业秘密,不认定为《反不正当竞争法》第十条第(一)、(二)项规定的侵犯商业秘密行为。前款所称“反向工程”,是指通过技术手段对从公开渠道取得的产品进行拆卸、测绘、分析等而获得该产品的有关技术信息。当事人以不正当手段知悉了他人的商业秘密之后,又以反向工程为由主张获取行为合法的,不予支持。

根据《反不正当竞争法》规定,商业秘密是指不为公众所知悉、能为权利人带来经济利益、具有实用性并经权利人采取保密措施的技术信息和经营信息。这里第一个构成要件就是“不为公众所知悉”。最高人民法院《关于审理不正当竞争民事案件应用法律若干问题的解释》(法释〔2007〕2号)规定,所谓“不为公众所知悉”是指有关信息不为其所属领域的相关人员普遍知悉和容易获得。但是具有下列情形之一的,可以认定有关信息不构成不为公众所知悉,也就是说这些信息已经为公众所知悉,不构成商业秘密:(一)该信息为其所属技术或者经济领域的人的一般常识或者行业惯例;(二)该信息仅涉及产品的尺寸、结构、材料、部件的简单组合等内容,进入市场后相关公众通过观察产品即可直接获得;(三)该信息已经在公开出版物或者其他媒体上公开披露;(四)该信息已通过公开的报告会、展览等方式公开;(五)该信息从其他公开渠道可以获得;(六)该信息无需付出一定的代价而容易获得。上述对此做出了规定,从法条上看如果通过正规途径运用反向工程获悉的商业秘密不属于侵犯商业秘密的行为。

另外通过正规途径运用反向工程获得的商业秘密运用到相关产品中不构成侵权,但其中有两点需要注意:第一,如果通过正规途径获取的是获得国家专利的商业秘密,按照法条获得国家专利的商业秘密也是商业秘密,所以也不构成侵犯商业秘密的行为,但按《专利法》第十一条:发明和实用新型专利权被授予后除本法另有规定的以外,任何单位或个人未经专利权人许可,都不得实施其专利,即不得为生产经营目的制造、使用、许诺销售、进口其专利产品或使用其专利方法以及使用许诺销售、销售、进口依照该专利方法直接获得的产品,外观设计专利被授予后,任何单位或者个人未经专利权人许可,都不得实施其专利,即不得为生产经营目的制造销售、进口其外观设计专利产品。因此,虽构不成侵犯商业秘密的行为,但如以生产经营为目的,将相关商业秘密应用到产品中去即违反《专利法》。所以结合《解释》和《专利法》可以理解为通过正规途径和反向工程获得的未获得专利的商业秘密并将以生产经营为目的应用相关商业秘密的行为是不合法的。

这里有一点需要强调的是《专利法》第五十条:一项取得专利权的发明或者实用新型比前已经取得专利权的发明或者实用新型具有显著经济意义的重大技术进步,其实施又有赖于前一发明或者实用新型的实施的,国务院专利行政部门根据后一专利权人的申请,可以给予实施前一发明或者使用新型的强制许可。在依照前款规定给予强制许可的情形下,国务院专利行政部门根据前一专利权人的申请,也可以给予实施后一发明或者实用新型的强制许可。《解释》第十二条可以说是对《专利法》第五十条的具体操作的规定,即是在未经专利权人的许可的情况下,第三人可以通过正规途径的反向工程获知专利技术的商业秘密,在此基础上去进行技术革新,如果这种技术革新具有显著经济意义的重大技术进步,法律即规定其合法性。所以我们在某种程度上可以理解《解释》第十二条与《专利法》第五十条存在着一定的稳定的必然关系。

第二,如果通过正规途径运用反向工程获取的是获得国家专利的外观设计专利权的产品商业秘密,通过对以上法条的解释,获得的商业秘密行为不是侵犯商业秘密的行为。《专利法》中对什么样的情况下构成侵犯外观设计专利权的规定也不是很明确。

一般可以认为,私权之间所形成的“禁止条款”与反向工程豁免公共政策相违背,构成商业秘密权利滥用,因此该禁止条款效力理应不予认可。换言之,在商业秘密法保护中,商业秘密权利人无权阻止社会公众通过反向工程这一正当手段对其商业秘密信息的获取(法律或者行政法规对于某些客体如计算机软件禁止反向工程的,依照有关法律或者行政法规的规定处理),除非技术权利人申请专利保护。当然,在适用反向工程豁免时,其中已知产品必须是以正当和诚实的方式获得的,例如从公开市场购买、公共领域获得,方可豁免。

反向工程知识产权诉讼的手段

反向工程推动技术的不断进步,技术进步又会促进反向工程,而作为知识产权的所有者,既要发展技术,利用反向工程,又要对其知识产权进行保护。因此对反向工程又有诸多的限制。

反向工程在司法解释中被定义为,通过技术手段对从公开渠道取得的产品进行折卸、测绘、分析等而获得该产品的有关技术信息。为避免该条款被滥用,司法解释同时规定:“当事人以不正当手段知悉了他人的商业秘密之后,又以反向工程为由主张获取行为合法的,不予支持。”

中国开源软件推进联盟专家委员会陈伟博士告诉本刊记者,就集成电路芯片而言,由于布图设计的全部图形分别存在于集成电路表面下不同深度处,所以实际中多采用逐层剥蚀,再用显微摄影技术将其拍摄下来,测出其尺寸即可复制出全套布图设计。反向工程的方法在集成电路工业的发展中起着巨大的作用,世界各国厂商无不采用这种方法来了解别人产品的发展,如果严格禁止这种行为,便会对集成电路技术的进步造成影响,所以各国在立法时都在一定条件下将此视为一种侵权的例外。为了教学、分析和评价布图设计中的概念、技术或者布图设计中采用的电路、逻辑结构、元件配置而复制布图设计以及在此基础上将分析评价结果应用于具有原创性的布图设计之中,并据此制造集成电路,均不视为侵权。但是,单纯地以经营销售为目的而复制他人受保护的布图设计而生产集成电路,应视为侵权行为。

据陈伟分析,计算机软件反向工程的合法性,一直是计算机软件知识产权保护中争议较大的问题。到目前为止,尚无任何国家在其软件保护法中允许对软件实施反向工程的行为。因为软件作为一种技术产品要考虑到产品的兼容性,所以绝对禁止反向工程行为可能影响软件技术的发展。

反向工程可能会被误认为是对知识产权的严重侵害,但是在实际应用上,反而可以成为知识产权所有者保护中的一把利剑。例如在集成电路领域和软件领域,如果怀疑某公司侵犯知识产权,可以利用反向工程技术来寻找证据。

第3篇:集成电路反向设计范文

关键词:DC-DC芯片;轻负载;反向大电流

引言

DC-DC变换器芯片具有效率高、稳定性好、PCB板占用面积小等优点,在现在电子设计中应用特别广泛。不断提高DC-DC变换器的效率一直是此类芯片设计中的难点[1]。

为了提高工作效率,目前的市场上的DC-DC变化器芯片多采用峰值电流模PWM控制方式[2-3]。大多数情况下,芯片会工作在轻负载模式,因此尤其应该提高轻负载模式下的效率[4]。本文基于降压型DC-DC芯片,提出了一种新颖的片上反向大电流检测保护电路,在轻负载的情况下,当电感中的反向电流超过900mA时,输出信号IR2_OUT将同步开关管关断,防止了流过电感的反向电流过大而造成能量的浪费,提高了变换器轻负载工作下的效率。

1 反向大续流检测电路的设计思想

DC-DC芯片主开关管和同步开关管连接处SW点的电压可以反映电感电流的大小。在续流阶段,对SW点的电压进行采样得到IR_SW信号,将该信号与基准电压通过比较器进行比较。当反向电感电流超过900mA时,IR_SW的电压大于基准电压,此时比较器的输出IR2_OUT变为逻辑“1”将同步开关管关断,使续流阶段结束。

2 具体电路实现

图1是反向大电流检测模块的实际电路图,图中BJH1是芯片内部基准电流模块为本模块比较器正常工作提供的电流偏置;IR_GND是基准电压信号,它由基准电流流入电阻R1产生;IR_SW是续流阶段SW点电压的采样信号;SHUT和IR2CTL是本模块的逻辑使能信号;IR2_OUT是本模块的输出,它可以控制同步开关管的关断。

由图1可知,本模块中的比较器采用了的典型两级结构[5]。电路第一级使用二极管接法的MOS管作负载,第二级采用推挽式的输出结构。第二级中的M15和M18接成共源共栅的结构,提高了电路的电源抑制比。在设计当中,M20N的宽长比远大于M20P的宽长比,并且M21P的宽长比远大于M21N的宽长比,这样由M20N和M20P构成的整形非门上升沿翻转较快,由M21N和M21P构成的整形非门下降沿翻转较快,从而有利于输出信号IR2_OUT在由逻辑“0”变为逻辑“1”过程中的快速翻转。

为了保证变换器在绝大部分情况工作在连续导通模式下,电路的设计应该保证电感中可以流过一定的反向电流。然而在反向续流阶段,输出电容上储存的能量经电感和同步开关管流到地端,造成了能量的浪费,因此为了提高变换器轻负载下的效率,反向电感电流又不宜过大。经过折衷考虑,本设计中的反向续流门限设定为900mA。

在芯片正常工作的情况下,在任意工作周期当中,当主开关管关断后,逻辑控制同步开关管打开,变换器进入续流阶段,此时全局关断信号SHUT为逻辑“0”,使能信号IR2CTL为逻辑“1”,反向续流检测模块可以正常工作。在续流阶段,同步开关管、电感、负载和输出电容构成回路,电感中的储能通过回路释放。在这一过程中,IR_SW通过检测SW点的电压来检测同步开关管中的电流。在续流的开始阶段,电流由地流向电感,此时SW点的电压小于零,IR_SW的电压小于零。差分对管中的M2导通,M3截止,偏置电流全部流经M5,M6上几乎没有电流流过,这导致了M18截止,M19导通并处于线性工作区,M19的漏端电压与源端电压几乎相等,接近于地电位,IR2_OUT为逻辑“0”。当电感中的能量耗尽之后,由于电感电流不能突变,输出电容通过电感和同步开关管对地放电,电感中开始流过反向电流,此时SW和IR_SW的电压大于零且它们随着电感电流的增大而增大。当电感中的反向电流超过900mA时,IR_SW电压将超过基准电压IR_GND,IR2_OUT变为逻辑“1”,控制同步开关管关断,结束变换器的续流过程。

3 仿真结果

本文提出的电路应用于一款降压型单片DC-DC变换器中,芯片已经采用Hspice和Candence完成了电路前仿真设计。图2是在温度为25℃、电源电压VI为5V、全典型模型的情况下,IR2_OUT随反向电感电流变化的直流仿真曲线。由该图可知,使IR2_OUT由逻辑“0”变为逻辑“1”的反向电感电流门限约为900mA,这一结果满足设计要求。

参考文献

[1]袁冰,来新泉,李演明,等.便携应用DC-DC轻负载高效率的实现[J].半导体学报,2008,06,Vol.29(6):1199-1202.

[2]陈东坡,何乐年,严晓浪.一种具有750mA输出电流,双模式PWM/

PFM控制的高效率直流-直流降压转换器[J].半导体学报,2008,08,Vol.29(8):1614-1619.

[3]Yong Sun, Yizheng Ye, Fengchang Lai. Power efficient high speed switched current comparator[C]. The 7th International Conference on ASIC Proceeding. 2007, 10: 581-584.

[4]P.Midya, M.Greuel, P.T.Krein. Sensorless Current Mode Control-An Observer -Based Technique for DC-DC Converter[J]. IEEE Trans on Power Electronics. 2001, 07, Vol.16(4): 522-526.

第4篇:集成电路反向设计范文

关键词 石油测井;高温电子线路;设计方法

中图分类号 TM 文献标识码 A 文章编号 1673-9671-(2012)031-0102-01

如何保障电子系统在高温环境下正常的运作,是本文研究的主要的问题。因为,在石油测井时,仪器通常都是在井下几千米以上的深井中工作,这种环境通常伴有:剧烈震动、压力大以及温度高等特点。电子线路将很难在这种环境下保持正常的运作。

1 温度对电路的影响

温度对电子线路的影响最主要还是对电子元件的影响。随着温度的变化,使得电子元件的一些特征和性能产生变化,从而影响到电路。

1)温度半导体元件的影响。设计高温电路,则必须先解决元件的问题。半导体是现代集成电路元件的主要材料,它一种热敏材料,随着温度升高,它的许多参数也将会随之变化,特别是本征承载流子的密度还与温度成正比,从而使得PN结的反向电流增加的很明显,进而导致功率损耗增加,噪声增大以及阻抗降低,最后,随着温度逐渐的升高,电子元件的内部结构受到破坏,致使电子元件的性能受损。有实验表明:随着半导体结温每10℃的增加,元件无故障时间将缩短一倍。所以,降低对半导体结温的要求,是设计高温电路的重点。

2)耐高温的电子元件。所有电子元件都有其的高温额制限度,由于元件工作自身也会产生温度,所以工作时元件的温度一般都会高于工作环境的温度。设计时,元件工作最高的温度不能超过其本身的温度允许值。所以,在设计时:①元件的选择上,应尽量额选择温度最高允许值大的的元件。在选择半导体元件时,应该注意不宜选用结温较低的锗件,而应该选用结温较高的硅件;②尽可能的减少电路系统功率的消耗,降低元件散热性的要求;③在设计上尽可能的增加热导和减少热阻,促使降低低功率消耗和最高允许结温的要求。元件的热阻是有两个部分组成的。其分别是,件外热阻:电子元件外壳到周围环境的热阻;件内热阻:电子芯片内部到外壳之间的热阻。确定件外热阻的因素有多种。一般是由:器件引线框材料和结构,半导体芯片的尺寸,压焊丝材料,芯片粘结材料,表面积的大小和直径以及器件外壳的材料所决定的。而件内热阻主要和组装件的组装密度、元件、结构材料、功率分布等等有关。

2 设计高温电路

高温电路的设计目前有三种方法可以实现。其分别是:传统、混合电路、HTASIC方法。

1)传统设计。传统的设计方法一般只是在设计和制造时将高温特性考虑进去的依照普通环境进行的系统设计方法。这种设计方法既要使用一些热设计去调整元件的功率,还得选用耐高温元件,但要在150℃以上的高温环境下正常工作还是很难实现的。别的高温元件也大概如此。不过可以用降温的方法来降低电路的温度,促使仪器内温度保持长时间在150℃以下,完成所需的测量。

传统的设计方法,对于短期的应用时可行的,甚至一些很复杂的电路也能用到。然而在长期的高温下应用,可靠性不高。因为,电路的无源与有源部分之间的互联部分在长期的应用下很容易老化。

2)混合电路设计的方法。我们将同时在一块基体上应用现成集成芯片和薄厚膜技术的方法称之为混合电路设计的方法。它是一种介于HTASIC和传统之间的方法。相对于传统技术,混合电路的功耗要低;而且,在高温工作环境下的各种效果都要比传统电路要好。

3)HTASIC设计方法。相对于传统电路和混合电路,集成电路技术在高温条件下应用的效果肯定是最好的。在一些典型的高温环境系的特性它都有很好的表现。能适应的最高工作环境高达250℃。

应用集成电路的好处:①随着能够集成在芯片上的功能的增多,处于外部的电子元件的数量也将逐渐减少;②集成电路相对分离电路其内部元件的尺寸要小的多,所以,大大的降低了功耗,也避免了芯片内部过热;③由于芯片内所有功耗元件都可以通过物理延伸或调整到避免本地过热点产生,就使得集成元件在高温环境下有了更高的保证。

3 低功耗的设计

高温电路的设计,在于提高电路系统高温环境下正常工作的时间。上述在采用耐高温元件、优化电路结构的同时,还应该考虑降低系统的功耗,减少热量的释放。

减低集成电路芯片功耗的设计最主要的研究内容是:如何有效的降低芯片功耗和如何通过软件硬件的优化在保持本来性能的前提下,使得总体功耗在一个较低值上。集成电路芯片所产生的功耗,最主要的是来源于电路逻辑状态所产生的动态功耗。所以,降低功耗最直接有效的方法是降低供电电压。只是这样经常会增加电路输出延迟。另外一种方法就是降低频率,有选择的降低频率可以再降低功耗的同时,保证系统的性能不受影响。而降低负载容抗的的方法,是实际中降低功耗最有意义的方法。

所以,在电路实际中降低功率消耗,可以从硬、软件的设计采取

措施。

1)硬件设计。①元件上,尽可能的采用功耗小,可胜任高温工作要求的高温低供电集成芯片;②在电路性能得到保证的前提下,进可能的提高电源转化效率和降低电源工作电压;③在保证电路性能的情况下尽量的减少元件数量,简化电路;④如果仪器是智能型的,则可以充分的利用中央处理器的运算、处理功能代替硬件电路。

2)软件设计。①尽可能的硬件软件化来实现功能。这样有利于降低成本,降低功耗,偏于维护和升级,还能提高工作的可靠性;②电源管理功能最好使用微处理器自身所带的;③采用可用的各种手段减少耗电;④结合实际应用,尽量利用软件手段减少耗能。例如:石油井下测量采样时,可以于事先预算好最好的采样方针,在不影响测量效果的前提下,尽量减少功耗;⑤在应用的过程中应该注意:要仔细检查各元件,特别是集成电子芯片的工作状态。考察其是否能够正常运作以及其各种性能是否健全。如果不能,应当及时给予处理。

4 小结

经过对耐高温电子元件的选择、电子线路结构的优化和降低电路系统功耗的设计,基于PCB的高温电路时可以实现的。实践也证明该电子系统能够在250℃以下的高温环境下正常运作。

参考文献

[1]Akira Matsuzawa. Low-voltage and low-power circuit design for mixed analog/digital systems in portable equipment. IEEE Journal of Solid-State Circuit.1994,29(4).

[2]Moyer, B. Low-power design for embedded processors. Pronceedings of the IEEE Circuits and Systems Magazine,2001,1(1).

[3]童诗白.模拟电子技术基础(第三版)[M].北京:高等教育出版社,2003.

第5篇:集成电路反向设计范文

保护

保护不仅适用于系统的保护,而且延伸到通过减少电子垃圾的环境保护。例如,当一个手机用户将获得一个新电话并处理掉旧的,通常充电器是跟着一起扔掉。事实上,每年近十亿电话和随之的充电器被丢弃在垃圾填埋场。如果充电器可以转移到下一个电话上使用(即可以全球通用),将会出现一个更环保的情况,从而减少这种实际的浪费。

幸运的是,这种做法正在全球各地开展。欧盟委员会提出一份谅解备忘录,旨在协调充电器的应用,这将驱动手机制造商使用微型USB为数据功能的智能手机((增长速度最快)连接充电器。充电器因此可以用于各种手机并一代一代传下去。在美国,为促进一个更绿色的世界,主要的手机供应商、手机芯片生产商、服务提供商和两个美国无线行业协会——GSMA和CTIA——一起来推动通用充电解决方案(UCS)计划。韩国的电信技术协会(TTA)已经认识到再利用充电解决方案的优势,并批准了通用20针充电器和耳机的连接器,相比以前24针连接器可以更好解决更袖珍电话的连接器问题 (见下图)。

出于安全的考虑,中国采取了一个还是使用USB端口的通用方法。安全问题已经因为手机电池爆炸而变得令人不安。高调的伤亡报告在中国引发中国信息产业部移动电信终端充电器及接口的技术要求和测试方法来促进充电安全。

过电压保护

过电压保护是中国的保护过电压的安全标准的一个关键因素,顾名思义,就是当用来给电池充电的电压高于指定的特定电池的安全级别。过电压会损坏电池甚至起火,因此过电压保护装置(OVP)集成电路被设计用来改善这种状况。OVP芯片监控电压并在电压过高时隔离手机和充电电源。典型的OVP芯片包括内部场效晶体管(MOSFET),控制一个或多个外部场效晶体管来关闭违规充电源。这是一个增强安全性的简单、廉价、有效的方法。

因为在一部手机中空间总是稀缺的,一个紧凑的设计是必要的,电池供电,低功率消耗是强制性的。例如,韩国的应用程序,需要任何时候都保护在电路中的IC,并保护手机免受±20 V的电压。此外,设备必须是双向的,通过电池电压恢复到设备的USB往复(OTG)检测。遵守韩国的标准,触发应设置在电池电压为4.35并有±1%的误差。其他地方略有不同的需求,所以应该有恒定的详情。

现有的芯片可以自动检测连接到电话或其他移动平台USB端口的设备类型,并且他们可以区分是充电器或耳机。芯片旨在应对中国电信标准,例如,要求过电压保护装置被纳入手机拦截从外部来的潜在危险信号。这将导致一些功能,比如过压和欠压保护(UVP),检测D + / D -(表明是否有充电器或别的东西连接),支持标准的USB设备连接并控制MOSFET开关。解决这些要求的芯片,可以使用一个外部的MOSFET,或者更多时候,一个或多个MOSFET合并成一个正确单一的带有提供隔离开关功能的控制电路方案。一个典型的OVP或UVP负荷开关集成电路将监控电压总线过压或欠压力的情况,如果电压读数低于或超过指定的限制(例如,小于3.3Vv或超过6.0V)则设置一个标志引脚关掉MOSFET负荷开关。

欠压锁定

欠压监测,通常称为低电压闭锁(UVLO),用来确保一旦电池电压低于指定的水平,系统以可控的方式关闭,不会不稳定、振荡或者进入掉电状态。用于手机、个人电脑和其他应用程序的芯片现在可提供UVLO。这些现代芯片通过使用场效晶体管(MOSFET)提供电源信号调节 (通常是集成在设计中),正如前面提到的,提供UVLO和 OVP。还有更先进的版本,添加诸如浪涌电流限制、过载保护(OCP)、反向电流保护、故障管理、转换速率控制和热保护。例如,浪涌电流限制,对于避免逐渐损坏组件、降低功率损耗和降低系统的瞬态效应是有用的。在某些集成电路中,提供一个虚拟二极管预防反向电流,但没有典型的二极管压降。综合故障管理功能允许自动重启、电流限制闭锁或设置硬限制,而不需要额外的硬件或软件。温度监控也变得越来越重要,因为便携式特性的扩展,导致更多的用电量和较高的操作温度。将这些功能集成为负载开关,有效地提供了简化成本并节省空间。

检测和连接

第6篇:集成电路反向设计范文

引言

从20世纪80年代初到90年代初的10年里,微电子领域的很多研究工作都集中到了数字系统速度的提高上,现如今的技术拥有的计算能力能够使强大的个人工作站、复杂实时语音和图像识别的多媒体计算机的实现成为可能。高速的计算能力对于百姓大众来说是触指可及的,不像早些年代那样只为少数人服务。另外,用户希望在任何地方都能访问到这种计算能力,而不是被一个有线的物理网络所束缚。便携能力对产品的尺寸、重量和功耗加上严格的要求。由于传统的镍铬电池每磅仅能提供20W.h的能量,因而功耗就变得尤为重要。电池技术正在改进,每5年最大能将电池的性能提高30%,然而其不可能在短期内显著地解决现在正遇到的功耗问题。

虽然传统可便携数字应用的支柱技术已经成功地用于低功耗、低性能的产品上,诸如电子手表、袖珍计算器等等,但是有很多低功耗、高性能可便携的应用一直在增长。例如,笔记本计算机就代表了计算机工业里增长最快的部分。它们要求与桌上计算机一样具有同样的计算能力。同样的要求在个人通信领域也正在迅速地发展,如采用了复杂语音编解码算法和无线电调制解调器的带袖珍通信终端的新一代数字蜂窝网。已提出的未来个人通信服务PCS(Personal Communication Services)应用对这些要求尤其明显,通用可便携多媒体服务是要支持完整的数字语音和图像辨别处理的。在这些应用中,不仅语音,而且数据也要能在无线链路上传输。这就为实现任何人在任何地方的任何时间开展任何想要的业务提供了可能。但是,花在对语音、图像的压缩和解压上的功耗就必须附加在这些可便携的终端上。确实,可便携能力已经不再明显地和低性能联系在一起了;相反,高性能且可便携的应用正在逐步得到实现。

当功率可以在非便携环境中获得时,低功耗设计的总理也变得十分关键。直到现在,由于大的封装、散热片和风扇能够轻而易举地散掉芯片和系统所产生的热,其功耗还未引起多大的重视。然而,随着芯片和系统尺寸持续地增加,要提供充分的散热能力就必须付出重要代价,或使所提供的总体功能达到极限时,设计高性能、低功耗数字系统方法的需求就会变得更为显著。幸好,现在已经发展了许多技术来克服这些矛盾。

由于可以高度集成,并具有低功耗、输入电流小、连接方便和具有比例性等性质,CMOS逻辑电路被认为是现今最通用的大规模集成电路技术。下面研究CMOS集成电路的功耗组成,概述实现集成电路——SoC(System on Chip)系统的低功耗设计的诸多方法。目的在于揭示当今电子系统结构复杂度、速度和其功耗的内在联系,在及在数字电子系统设计方向上潜在的启示。

1 CMOS集成电路功耗的物理源

要研究SoC的低功耗设计,首先要物理层次上弄清该集成电路的功耗组成,其次,才能从物理实现到系统实现上采用各种方法来节省功耗,达到低功耗设计的目的。图1为典型CMOS数字电路的功耗物理组成。

(1)动态功耗

动态功耗是由电路中的电容引起的。设C为CMOS电路的电容,电容值为PMOS管从0状态到H状态所需的电压与电量的比值。以一个反相器为例,当该电压为Vdd时,从0到H状态变化(输入端)所需要的能量是CVdd2。其中一半的能量存储在电容之中,另一半的能量扩展在PMOS之中。对于输出端来说,它从H到0过程中,不需要Vdd的充电,但是在NMOS下拉的过程中,会把电容存储的另一半能量消耗掉。如果CMOS在每次时钟变化时都变化一次,则所耗的功率就是CBdd2f,但并不是在每个时钟跳变过程之中,所有的CMOS电容都会进行一次转换(除了时钟缓冲器),所以最后要再加上一个概率因子a。电路活动因子a代表的是,在平均时间内,一个节点之中,每个时钟周期之内,这个节点所变化的几率。最终得到的功耗表达式为:Psw=aCVdd2f。

(2)内部短路功耗

CMOS电路中,如果条件Vtn<Vin<Vdd-|Vtp|(其中Vtn是NMOS的门限电压,Vtp是PMOS的门限电压)成立,这时在Vdd到地之间的NMOS和PMOS就会同时打开,产生短路电流。在门的输入端上升或者下降的时间比其输出端的上升或者下降时间快的时候,短路电流现象会更为明显。为了减少平均的短路电路,应尽量保持输入和输出在同一个沿上。

一般来说,内部短路电流功耗不会超过动态功耗的10%。而且,如果在一个节点上,Vdd<Vtn+|Vtp|的时候,短路电流会被消除掉。

(3)静态漏电功耗

静态漏电掉的是二极管在反向加电时,晶体管内出现的漏电现象。在MOS管中,主要指的是从衬底的注入效应和亚门限效应。这些与工艺有关,而且漏电所造成的功耗很小,不是考虑的重点。

表1为CMOS集成电路中主要的耗电类型。

表1 CMOS集成电路中主要的耗电类型

类     型公   式比   率动态功耗(switching power)Psw=aCVdd2f70%~90%内部短路功耗(internal short-circuit power)Pint=IintVdd10%~30%静态漏电功耗(static leakage power)Pleak=IleakVdd<1%总功耗(total power)Ptotal=Psw+Pint+Pleak100%(4)小结

通过设计工艺技术的改善,Pint和Pleak能被减小到可以忽略的程度,因而Psw也就成为功耗的主要因素。后面所做的功耗优化大部分是围绕这一个公式来进行的。对于SoC来说,所有的方法都是围绕着动态功耗来做文章的,因为在电路信号变化时,功耗消耗主要在电路中电容的充放电过程。如果从各个层次、各个方面尽量减少电路的充放电,将是我们关心的主题。

2 降低集成电路SoC功耗的方法

功耗对于一个便携式SoC数字系统来说尤为重要。事实上,很多便携式SoC系统的设计,是先进行功耗分析,由功耗分析的结果再来划分设计结构。可以说,功耗将可能决定一切。现在要做的是,根据功耗分析的结果,评判SoC结构,改进设计,优化方案。

SoC系统的功耗所涉及的内容十分广泛,从物理实现到系统实现都可以采用各种方法来节省和优化功耗。通过对国外大量文献的查阅,我们得到了常用的实现低功耗设计的各种较为有效的方法,如表2所列。

表2 常用实现低功耗的各种方法

类   型采用方法效    果行为级(系统级)Concurrency memor几倍软件代码软件优化32.3%功率管理Clock控制10%~90%RTL级结构变换10%~15%综合技术合成与分解逻辑15%综合技术映射

门级优化20%

20%布局布局优化20%(1)系统级功耗管理

这一部分实际上是动态功耗管理。主要做法是在没有操作的时候(也就是在SoC处于空闲状态的时候),使SoC运作于睡眠状态(只有部分设备处于工作之中);在预设时间来临的时候,会产生一个中断。由这个中断唤醒其它设备。实际上,这一部分需要硬件的支持,如判断,周期性的开、关门控时钟(gate clock)等。

(2)软件代码优化

软件代码优化是针对ARM嵌入式处理器而言的。对于编译器来说,所起的使用不到1%,而对于代码的优化则可以产生高达90%的功耗节省。Simunic等人曾分别做过用各种针对ARM处理器的编译器进行的试验。比此的实验结果发展,风格比较好的代码产生的效果远比用ARM编译器优化的效果好。

(3)Clock控制

这是在ASIC设计中行之有效的方法之一。如果SoC芯片在正常工作,有很大一部分模块(它们可能是用于一些特殊用途中,如调试Debug、程序下载等)是乖于空闲状态的,这些器件的空运作会产生相当大的功耗。这一部分应使用时钟控制,即clock enable & disable。

(4)RTL级代码优化

与软件相似,不同的RTL(Register Transfer Level,寄存器传输级)代码,也会产生不同的功耗,而且RTL代码的影响比软件代码产生的影响可能还要大。因为,RTL代码最终会实现为电路。电路的风格和结构会对功耗产生相当重要的影响。

RTL级代码优化主要包括:

①对于CPU来说,有效的标准功耗管理有睡眠模式和部分未工作模块掉电。

②硬件结构的优化包括能降低工作电压Vdd的并行处理、流水线处理以及二者的混合处理。

③降低寄存电容C的片内存储器memory模块划分。

④降低活动因子a的信号门控、减少glitch(毛刺)的传播长度、Glitch活动最小化、FSM(有限状态机)状态译码的优化等。

⑤由硬件实现的算法级的功耗优化有:流水线和并行处理、Retiming(时序重定)、Unfolding(程序或算法的展开)、Folding(程序或算法的折叠)等等基本方法以及其组合。

(5)后端综合与布线优化

既然SoC的功耗与寄生电容的充放电有很大的关系,作为后端综合与布线,同样也可采取一些措施来减少寄存器电容。可以优化电路,减少操作(电路的操作),选择节能的单元库,修改信号的相关关系,再次综合减少毛刺的产生概率。

    实际上,这一部分与使用的工具有关。与软件部分有相同之处,后端综合与布线同软件的编译差不多。软件编译的结果是产生可执行的机器代码;而RTL的综合与布线是把RTL代码编译成真实的电路。但是,后端综合与布线优化比较编译优化有更好的效果。这是因为一段RTL代码所对应的电路是可以有多种形式的;同时现有些编译器会根据设计者提供的波形,智能地修改电路(前提是最终电路的效果还是一样的),编译器就会进行相关的优化。但是后端综合的优化与RTL级代码优化和时钟控制相比,同样的RTL级与时钟优化所产生的影响要远大于用编译工具所产生的影响。

(6)功耗的精确计算

后端综合与布线工具不但可以根据基本单元提供的功耗参数进行优化,还可以根据这些参数估算出整个SoC的功耗。正因为有这样一些工具,使我们可以精确地知道我们所设计的是否达到设计要求。万一设计功耗不符合总体要求,则可能要求从系统级到物理综合布线都要做出检查与分析,做出可能的改进,尽可能地减少功耗以达到设计要求。

(7)小结

从上面的各种降低以及估算功耗的方法可以看出,SoC系统的拉耗优化涉及到从物理实现到系统实现的方方面面,是芯片设计中一个十足的系统工程。可以说,功耗可以决定一切。

结语

本文首先分析了CMOS集成电路的功耗物理组成,得到了其主要功耗成分。其次,以该主要功耗成分数学表达式为指导,突出了SoC低功耗设计的各种级别层次的不同方法。不管是现在还是将来,该领域的重要性将会日益显著。在下面的一些发展方向还将会有较大的发展:

①实现SoC系统设计的变换以及映射技术的进一步探索。

②将各种低功耗设计手段按照各性质最佳综合起来,以便使用基于人工智能的技术(如遗传算法和启发式算法等等)来研究。

③发展以实现低功耗为目的CPU指令程序的改写技术,以将其扩展到复杂SoC系统的设计中。

④进一步研究应用于SoC低功耗设计的编码和信号表示技术。

第7篇:集成电路反向设计范文

【关键词】FPGA Quartus II EDA 计数器

随着全球经济的高速发展、科学技术的不断创新,电子设计自动化EDA(系Electronic Design Automation的缩写)技术,在电子信息工程领域成为了当今世界上最先进的电子电路设计技术。它依靠功能强大的电子计算机,在EDA工具软件平台上,对以硬件描述语言HDL(系Hardware Description Language的缩写)为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、优化、仿真,直至下载到可编程逻辑器件如现场可编程门阵列FPGA或复杂的可编程逻辑器件CPLD(系Complex Programmable Logic Device的缩写)或专用集成电路ASIC(系Application Specific Integrated Circuit的缩写)芯片中,从而实现既定电子电路的功能系统设计。因此,在电子工程应用领域,用EDA技术来完成电子系统的设计,已成为现代电子设计技术的核心。

1 设计方案

本文提出的系统设计方案采用自顶向下的设计方法,从系统设计入手,在顶层对计时器整体电路系统进行功能方框图的划分和结构设计,在方框图一级进行仿真、纠错,用硬件描述语言(HDL)对高层次的系统行为进行描述并于功能一级进行验证;系统电路的设计依托于FPGA硬件平台,采用超高速集成电路硬件描述语言―VHDL(系Very High Speed Integrated Circuit Hardware Description Language的缩写),设计在Quartus II开发环境下进行;设计出的计时器计时范围为00.00--59.00秒,精度为0.01秒,具有秒加和秒减计时、清零、计时―停止―继续计时等功能,对百分之秒和秒的计数信息采用四位LED数码管进行友好界面显示。系统设计框图如图1所示。

2 核心模块设计

2.1 输入配置模块设计

依据加减计时器设计系统框图图1所示,输入配置模块包括反向器逻辑门、与逻辑门两部分。基于设计功能需求,反向器逻辑门、与逻辑门的VHDL描述设计如图2所示。

2.2 加/减计数模块设计

加/减计数模块包括0~59秒秒加/秒减减计数器、精度0.01秒秒加/秒减计数器。该模块的主要功能是:根据模块的输入控制信号,来实现计时器的计数加或计数减的操作。如系统框图图1所示,在输入端口信号的控制下,精度0.01秒秒加/秒减计数器的进(借)位输出信号,作为后续0~59秒秒加/秒减计数器clk端口的输入信号,从而实现计时器的加/减计数工作。设计中,0~59秒秒加/秒减计数器为六十进制加/减计数器,其用VHDL描述设计如图3所示。

精度0.01秒秒加/秒减计数器的设计为百进制加/减计数器,其用VHDL的描述设计思路类似于六十进制的加/减计数器,此处不再复述。

2.3 扫描译码显示模块设计

本模块为加减计时器系统设计的输出模块,其功能在于对前级模块的计数信号进行动态扫描、译码,并进行数字信息显示。包括动态扫描电路、译码电路、LED显示器。动态扫描器电路VHDL描述设计如图4所示,译码电路VHDL描述设计如图5所示。另外,LED显示器采用的是四个共阴极的数码管来实现数字信息的友好显示。

3 系统验证及测试

3.1 系统波形仿真验证

在Quartus II开发平台下,逐一完成各模块设计,并将各模块依次按照设计系统框图构建起来,得到整个计时器的系统设计电路。按照设计系统功能要求,完成波形正确仿真验证如下:

(a)秒加计时波形仿真验证:

Input :Clk=clk_1hz=100hz ,fuwei=1,s=1,clr=0,k=0,L=1 Output:Mm,sc,LEDN,WX(见图6)

(b)秒减计时波形仿真验证

Input :Clk=clk_1hz=100hz ,fuwei=1,s=1,clr=0,k=0,L=0 Output:Mm,sc,LEDN,WX(见图7)

3.2 FPGA硬件平台测试

通过Quartus II开发平台,将编程设计文件下载到型号为EP1C12Q240C8(Altera公司Cyclone系列)的目标芯片上,用达盛科技有限公司的FPGA硬件实验箱EDA-V+平台进行正确测试图片如8。

4 结语

整个加减计时器系统的设计过程,采用自顶向下的设计思路。首先,确定系统构架框图,根据各模块功能,依次进行VHDL程序设计。然后,采用原理图输入法,将各模块的设计元件连接起来,从而实现加减计时器系统电路的多层次设计。最后,对设计系统进行波形仿真验证和FPGA硬件平台测试。通过软硬件验证测试表明,本文提出的设计方案确实可行。

参考文献

[1][巴西]Volnei A.Pedroni著.Circuit Design With VHDL[M].美国:MIT Press,2004.

[2]汪国强.EDA技术与应用[M].北京:电子工业出版社,2007.

[3]唐俊英.EDA技术应用实例教程[M].北京:电子工业出版社,2008.

[4]Floyd T L.数字电子技术[M].北京:电子工业出版社,2014.

[5]阎石.数字电子技术基础[M].北京:高等教育出版社,2006.

[6]李金平,沈明山,姜余祥.电子系统设计[M].北京:电子工业出版社,2012.

作者简介

陈龙险(1986-),男,白族,贵州省盘县人。大学本科学历。现为青海建筑职业技术学院助教。

第8篇:集成电路反向设计范文

集成电路技术和计算机技术的蓬勃发展,让电子产品设计有了更好的应用市场,实现方法也有了更多的选择。传统电子产品设计方案是一种基于电路板的设计方法,该方法需要选用大量的固定功能器件,然后通过这些器件的配合设计从而模拟电子产品的功能,其工作集中在器件的选用及电路板的设计上。

随着计算机性价比的提高及可编程逻辑器件的出现,对传统的数字电子系统设计方法进行了解放性的革命,现代电子系统设计方法是设计师自己设计芯片来实现电子系统的功能,将传统的固件选用及电路板设计工作放在芯片设计中进行。从20世纪90年代初开始,电子产品设计系统日趋数字化、复杂化和大规模集成化,各种电子系统的设计软件应运而生。

在这些专业化软件中,EDA(Electronic Design Automation)具有一定的代表性,EDA技术是一种基于芯片的现代电子系统设计方法。它的优势主要集中在能用HDL语言进行输入、进行PLD(可编程器件)的设计与仿真等系统设计自动化上;20世纪90年末,可编程器件又出现了模拟可编程器件,由于受技术、可操作性及性价比的影响,今后EDA技术会向模拟可编程器件的设计与仿真方向发展,并占据市场的一定份额。

EDA技术主要包括大规模可编程逻辑器件、硬件描述语言、开发软件工具及实验开发系统4个方面。其中,大规模可编程逻辑器件是利用EDA技术进行电子系统设计的载体硬件,描述语言是利用EDA技术进行电子系统设计的主要表达手段,开发软件工具是利用EDA技术进行电子系统设计的智能化与自动化设计工具,实验开发系统则是提供芯片下载电路及EDA实验、开发的外围资源。

FPGA结构概述

现场可编程门阵列FPGA作为集成度和复杂程度最高的可编程ASIC。是ASIC的一种新型门类,它建立在创新的发明构思和先进的EDA技术之上。运算器、乘法器、数字滤波器、二维卷积器等具有复杂算法的逻辑单元和信号处理单元的逻辑设计都可选用FPGA实现。以Xilinx的FPGA器件为例,它的结构可以分为3个部分:可编程逻辑块CLB(Configurable Logic Blocks)、可编程I/O模块IOB(Input/Output Block)和可编程内部连接PI (Programmable Interconnect)。CLB在器件中排列为阵列,周围环形内部连线,IOB分布在四周的管脚上。Xilinx的CLB功能很强,不仅能够实现逻辑函数,还可以配置成RAM等复杂的形式。

现场可编程门阵列FPGA是含有大规模数字电路的通用性器件。这些数字电路之间的互联网络是由用户使用更高级的软件来定义的。FPGA可以进行无限次的重复编程,从一个电路到另一个电路的变化是通过简单的卸载互联文件来实现的,极大地推动了复杂数字电路的设计,缩短了故障检查的时间。

传统的数字逻辑设计使用TTL电平和小规模的数字集成电路来完成逻辑电路图。使用这些标准的逻辑器件已经被证实是最便宜的手段,但是要求做一些布线和复杂的电路集成板(焊接调试)等工作,如果出现错误,改动起来特别麻烦。因此,采用传统电子设计方案人员的很大一部分工作主要集中在设备器件之间物理连接、调试以及故障解决方面。正是因为FPGA的EDA技术使用了更高级的计算机语言,电路的生成基本上是由计算机来完成,将使用户能较快地完成更复杂的数字电路设计,由于没有器件之间的物理连接,因此调试及故障排除更迅速、有效。

可编程特点有助复杂电路设计

FPGA能进行无限次的重复编程。因此能够在相同的器件上进行修改和卸载已经完成好的设计。在一个FPGA芯片上的基本部件数量增加了很多,这使得在FPGA上实现非常复杂的电子电路设计变成比较现实。由于采用FPGA的EDA技术所产生的性价比更高一些,从而使得最近有多家公司开始采用这项技术,并且这种增长趋势仍旧在继续。

FPGA中的逻辑块是CLB,逻辑块是指PLD(Programmable Logic Device)芯片中按结构划分的功能模块,它有相对独立的组合逻辑单元,块间靠互连系统联系。FPGA的逻辑块粒度小,输入变量为4~8,输出变量为1~2,每块芯片中有几十到上千个这样的单元,使用时非常灵活。FPGA内部互连结构是靠可编程互联P I实现逻辑块之间的联接。它的互联是分布式的,它的延时与系统布局有关,不同的布局,互联延时不同。根据FPGA的不同类型,可采用开关矩阵或反熔线丝技术将金属线断的端点连接起来,从而使信号可以交换于任意两逻辑单元之间。

采用FPGA技术集成设计数字电路产品最大的特点就是可以使设计和实现相统一,无须前期风险投资,而且设计实现均在实验室的EDA开发系统上进行,周期很短,大大有利于现代产品的市场竞争需求,所以,FPGA的应用设计,特别适应于电子新产品的小批量开发,科研项目的样机试制以及ASIC产品设计的验证,能够进行现场设计实现、现场仿真及现场修改。由此,受到电子产品设计工程师的广泛推崇和欢迎。

FPGA的应用领域

FPGA所具有的无限次可重复编程能力,灵活的体系结构,丰富的触发器及布线资源等一系列的特点使得它可以满足电子产品设计的多种需求。FPGA的应用领域主要集中在替换通用逻辑和复杂逻辑、重复编程使用、板极设计集成、高速计数器、加减法器、累加器和比较器的实现、总线接口逻辑等方面。

应用和开发FPGA必须对器件的性能有一个全面了解,例如对器件的容量、速度、功耗,接口要求和引脚数目等进行综合考虑,同时还要注意以下几个细节问题:

时序电路应用“上电”复位电路,保证开机加电后,置时序电路于初始状态;

器件的电源与地引脚必须并接一只0.1μF的无感电容,起滤波和去耦作用;

不能采用数目是偶数的反向器串联的方法构成“延时电路”,一则延时的时间不准确,二则自动编译时会作为冗余电路被简化掉;

主要的全局缓冲器必须由半专用的焊盘驱动,次要的全局缓冲器可以来源于半专用的焊盘或内部网线;

引脚之间严禁短路,忌用万用表直接测量器件引脚;

器件的I/ O口如被定义为输出端,忌对该端加信号,否则将损坏芯片;

低功耗的器件如接负载过大时,不仅会使所用器件的工作效率显著降低,甚至会损伤芯片。

第9篇:集成电路反向设计范文

【关键词】电子设计;EDA技术;应用

前 言:EDA又称电子设计自动化,它是电子技术的发展潮流,是电子技术及仿真模拟工作的基础技术,因此,在电子设计中,EDA得到了广泛的应用。

1.EDA技术概述

在电子设计技术中以可编程逻辑器件在数字系统中的应用为电子设计工作带来了极大的灵活性,可编程逻辑器件在软件编程时重构器件的结构及工作方式,从而大大的提高了设计硬件的效率。PLD应用的结构原理、下载方式及集成规模等方面的具体的进步都在一定程度上推动了现代电子技术的革命的发展,它使得传统的数字系统设计方法、设计理念及设计过程等都发生了改变。随着PLD技术的不断完善及计算机技术的快速发展,EDA技术开始在电子设计领域中发光发热。EDA技术在计算机上的EDA工具软件平成设计文件时利用硬件描述语言来进行系统逻辑描述。EDA技术实现了设计者利用硬件描述语言及电子设计自动化软件等完成对系统硬件功能的设计工作,EDA技术可以自动的完成逻辑编译、逻辑分割及布局布线等功能从而使电子线路系统功能全部实现。

2.EDA技术的现状及发展

随着半导体工艺技术的不断发展,EDA技术也不断地推动着电子设计技术的发展。IC设计产业在不断高度发展的同时也面临着巨大的挑战,产品上市周期越来越短、成本越来越低等要求都迫使设计者在进行电子设计时选用更高效的EDA技术。设计者在设计的过程中必须全面的考虑问题,不仅要考虑硬件的物理特性对设计时序及功能可靠性等的影响,同时也要选用合适的设计术语及抽象形式等数据来描述设计。EDA技术不仅需要测试深验证亚微米技术的物理效应的能力同时也需要提供抽象设计的能力。EDA技术的发展离不开计算机、电子系统设计及集成电路等,EDA技术的发展大致上可以分为计算机辅助阶段、计算机辅助工程设计阶段及电子设计自动化阶段这三个阶段。电子辅助阶段主要是在计算机辅助的前提下进行的电路原理图编辑,用PCB进行布线布局,从而使得设计师从传统的绘图工作中解放出来。计算机辅助工程设计阶段主要是解决电路设计中的电路检测等问题,CAE以逻辑模拟、故障仿真及定时分析等为核心,从而使得设计可以提前预知产品的相关性能及功能。电子设计自动化阶段主要是通过高级描述语言、综合技术及系统仿真等“自上而下”的完成设计前期的高层次设计。

3.EDA技术的要点分析

3.1硬件描述语言

硬件描述语言是一种进行电子系统硬件设计的计算机语言,它通过软件编程来具体的描述电子系统中的电路结合、连接形式及逻辑功能等,硬件描述语言适应于设计大规模的电子系统。高速集成电路(VHDL)硬件描述语言于1985年美国国防部推出的目的是为了克服EDA产品不兼容问题,同时也可以进行多层次设计。IEEE以VHDL为硬件描述语言柄滩以覆盖之前的硬件描述语言的各种功能。IEEE是一种全方位的硬件描述语言,包括系统行为级、逻辑门级及寄存器传输等多个设计层次,同时也支持数据流、结构及行为等三种形式进行混合描述整个项目。VHDL硬件描述语言不仅移植性好,同时它的设计也方便了工艺间的转换,而且VHDL使得设计人员的主要工作是进行实现与调试系统功能。

3.2ASIC设计

在集成电路的设计中加入ASIC芯片可以解决电子系统集成电路存在的功耗的、可靠性差及体积大等主要问题。随着现代电子产品市场的门槛不断提高,ASIC芯片分为全定制或半定制ASIC及可编程,因此在设计ASIC芯片时应该尽可能的是芯片获得最优的性能,从而达到高利用率、高速度及低耗能的目标。

4.EDA技术在电子设计流程

EDA技术是系统级的设计方法,是一种层次相对较高的电子设计方式,EDA技术以概念为驱动从而使电子设计工作者在设计时无需利用门级原理图,电子设计工作者在确定设计目标之后就可以用EDA技术来表述电路,这样不仅可以减少电路细节的约束及限制,同时也可以使设计者的设计更具创造性。EDA系统在电子设计人员将概念构思及高层次的描述输入计算机之后在系统规则下完成对电子产品的设计。EDA技术的电子设计工作流程大致包括系统划分、代码级功能仿真、VHDL代码或图形的输入、送配前时序仿真及ASIC实现部分。首先,电子设计借助文本或者图形编辑器呈现出设计描述,也就是实现设计表述。其次,电子设计借助编译器对设计进行错排编译,即输入HDL程序。然后,设计人员需要沟通软件和硬件设计,以便实施功能仿真,即综合。最后,在确认仿真设计无误时,通过FPGA或CPLD完成逻辑映射操作,即编程下载,系统级设计完成。

5.EDA技术的应用

EDA技术在电子工程设计中扮演着非常重要的角色,它的作用体现在不同的方面。首先,电子自动化技术可以验证电路设计方案的正确性,在进行电子设计时,待设计方案确定之后,会利用结构模拟或者系统仿真等方式来验证设计方案的正确性,在验证过程中系统中的各个环节的传递函数确定之后设计方案便可以实现。这种系统仿真技术推广到非电子专业的系统设计也会得到充分的发展。EDA技术在系统进行仿真之后的电路结构进行模拟分析,从而使得电路设计方案的可行性及正确性得到充分的保障。其次,电子自动化字数也可以对电路特性进行优化设计。电路的稳定性能受到元器件容差及工作环境温度等的影响。在传统设计过程中难以对电路的整体进行优化设计,也无法全面的分析电路稳定性的影响因素。EDA技术中的温度分析及统计分析等功能的应用则可以全面的分析电路特性影响因素,从而对电路特性进行整体的优化设计。最后,电子自动化技术也可以实现电路特性的全功能模拟测试。

6.以EDA技术为基础电子设计的注意事项

在利用EDA技术进行电子设计时,首先应充分的考虑电子电路延时的不确定性,以及在系统进行自动编译时会被冗余的电路简化,因此,在应用EDA技术时,应注意采用的反向器个数避为偶数,同时以并联的方式将反向器连接成延时电路。其次,在设计过程中输入的引脚不能处于置空状态,要保证有信号源来驱动引脚,及保持部分不用的引脚保持接地,同时,器件的电源应始终与地线引脚保持相连,彼此之间可以进行滤波及去耦。最后,在设计中药避免器件过于发热。

结束语:

我国经济的进步带动着我国科学技术的不断发展,从而也使得了电子产品得到了飞速的发展。在现阶段的电子设计中,EDA技术是电子设计过程中的核心技术,是电子产品研制开发的源动力。随着EDA技术的不断深入发展,EDA技术将引发电子产业界及电子设计领域的技术革命变革,EDA技术的不断完善使得电子设计的水平在不断的提升。为了使电子系统朝着集成化及规模化等方向的发展,电子设计工程师应该充分的掌握EDA技术,以便开发出更多的高性能电子产品。

参考文献

[1]潘松.电子设计自动化(EDA)技术及其应用(一)[J].电子与自动化,2000,01:51-54.

[2]魏娜,王慧莹.EDA技术在电子设计中的应用[J].黑龙江科学,2014,03:267.

[3]朱金明,黄理瑞.浅析电子设计中EDA技术的应用[J].数字技术与应用,2014,07:106.